Chinaunix首页 | 论坛 | 博客
  • 博客访问: 35718
  • 博文数量: 7
  • 博客积分: 0
  • 博客等级: 民兵
  • 技术积分: 114
  • 用 户 组: 普通用户
  • 注册时间: 2014-04-25 14:03
个人简介

互相交流,共同进步!

文章分类

全部博文(7)

文章存档

2015年(7)

我的朋友

发布时间:2015-07-31 12:57:05

一、默认显示方面

高亮显示默认颜色设置:CF5→Display→Temporary highlight,也可修改栅格点、鼠线、DRC等默认颜色 高亮显示不同颜色:......【阅读全文】

阅读(917) | 评论(0) | 转发(1)

发布时间:2015-07-31 12:54:09

1.xilinx的FPGA命名规则

包括:
(1)Corporate Logo
(2)Family Brand Logo
(3)Device type
譬如,对于编号为.........【阅读全文】

阅读(1407) | 评论(0) | 转发(0)

发布时间:2015-06-02 17:33:44

电容的ESR是指电容的等效串联电阻(或阻抗)。理想的电容,是没有电阻的。但是实际上,任何电容都有电阻,这个电阻值和电容的材料、结构有关系。在开关电源技术之前,普遍采用线性电源(现在经常使用的LDO,就属于这种技术),电源电路都工作在低频直流状态,通过滤波整流电路把交流转换成直流。在低频直流电源中,电容.........【阅读全文】

阅读(947) | 评论(0) | 转发(0)

发布时间:2015-05-11 15:28:27

———版权声明———–本文作者 Ricky Suwww.fpganotes.comrickysu.fpga@gmail.com欢迎转载,转载请保持原样及署名商业使用须得到本人授权———版权声明———–0. 序俗话说,好的开始是成功的一半。在这个信息爆炸的时代,好的资料就是成功学习的一半。时常看到.........【阅读全文】

阅读(2891) | 评论(0) | 转发(0)

发布时间:2015-05-05 16:57:27

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use std.textio.all;entity RS485serialInterface isport(    Clk : in std_logic;     reset : in std_logic;--    Rclk : in std_logic;--  .........【阅读全文】

阅读(1417) | 评论(0) | 转发(0)
给主人留下些什么吧!~~
留言热议
请登录后留言。

登录 注册