互相交流,共同进步!
全部博文(7)
2015年(7)
发布时间:2015-07-31 12:57:05
一、默认显示方面
高亮显示默认颜色设置:CF5→Display→Temporary highlight,也可修改栅格点、鼠线、DRC等默认颜色 高亮显示不同颜色:......【阅读全文】
发布时间:2015-07-31 12:54:09
1.xilinx的FPGA命名规则
包括:
(1)Corporate Logo
(2)Family Brand Logo
(3)Device type
譬如,对于编号为.........【阅读全文】
发布时间:2015-05-11 15:28:27
———版权声明———–本文作者 Ricky Suwww.fpganotes.comrickysu.fpga@gmail.com欢迎转载,转载请保持原样及署名商业使用须得到本人授权———版权声明———–0. 序俗话说,好的开始是成功的一半。在这个信息爆炸的时代,好的资料就是成功学习的一半。时常看到.........【阅读全文】
发布时间:2015-05-05 16:57:27
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use std.textio.all;entity RS485serialInterface isport( Clk : in std_logic; reset : in std_logic;-- Rclk : in std_logic;-- .........【阅读全文】