互相交流,共同进步!
全部博文(7)
VHDL(1)
2015年(7)
发布时间:2015-05-05 16:57:27
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;use std.textio.all;entity RS485serialInterface isport( Clk : in std_logic; reset : in std_logic;-- Rclk : in std_logic;-- .........【阅读全文】