Chinaunix首页 | 论坛 | 博客
  • 博客访问: 1148218
  • 博文数量: 173
  • 博客积分: 4048
  • 博客等级:
  • 技术积分: 2679
  • 用 户 组: 普通用户
  • 注册时间: 2010-09-12 18:53
文章分类

全部博文(173)

文章存档

2018年(1)

2016年(1)

2013年(1)

2012年(118)

2011年(52)

分类: 嵌入式

2011-06-11 15:53:28

写Verilog时,虽然每个module都会先用ModelSim或Quartus II自带的simulator仿真过,但真的将每个module合并时,一些不可预期的“run-time”问题可能才一一浮现,这时得靠SignalTap II来帮忙debug。

Verilog时,虽然每个module都会先用ModelSimQuartus II自带的simulator仿真过,但真的将每个module合并时,一些不可预期的run-time问题可能才一一浮现,这时得靠SignalTap II来帮忙debug


使用环境:Quartus II 8.0 + DE2-70 (Cyclone II EP2C70F896C6N)

实际使用SignalTap II时,会发现有些regwire可以观察,有些又无法观察,本文整理出完整的regwire观察方法。

观察reg

SSignalTapII_register_not_preserve.v / Verilog

  1. module SignalTapII_register_not_preserve (
  2.    input iCLK,
  3.    input iRST_N
  4. );
  5. reg [3:0] cnt;
  6. always@(posedge iCLK, negedge iRST_N) begin
  7.   if (!iRST_N)
  8.      cnt <= 4'h0;
  9.   else
  10.      cnt <= cnt + 4'h1;
  11. end
  12. endmodule  


这是个很简单的计数器,我故意让cnt不做output,而想用SignalTap II去观察cnt这个reg的值。

signaltap2_reg0

cnt都是0,显然不合理,表示SignalTap II无法capture cnt这个reg的值。为什么会这样呢?

若我们将SignalTap II拿掉,重新用Quartus II编译,观察其compilation report显示register0

signaltap2_reg1

观察RTL Viewer的合成结果,真的没有register!!

signaltap2_reg2

这证明了一件事情,Quartus II在合成时,发现cnt并没有需要output,而自动最佳化不合成cnt,导致SignalTap II无法观察reg,不过有时为了debug方便,我们就是想观察这种reg,有办法让Quartus II暂时不要启动最佳化吗?

使用Synthesis Attribute避免最佳化

SignalTapII_register_preserve.v / Verilog

  1. module SignalTapII_register_preserve (
  2.    input iCLK,
  3.    input iRST_N
  4. )
  5. reg [3:0] cnt /*synthesis noprune*/;
  6. always@(posedge iCLK, negedge iRST_N) begin
  7.   if (!iRST_N)
  8.      cnt <= 4'h0;
  9.   else
  10.      cnt <= cnt + 4'h1;
  11. end
  12. endmodule


6行
reg [3:0] cnt /*synthesis noprune*/;

多了/*synthesis noprune*/这个synthesis attribute指示Quartus II不要对cnt做最佳化,保留此register以供SignalTap II观察注意必须写在分号前面,不能如下写在分号后面

reg [3:0] cnt;/*synthesis noprune*/ //!!

编译后,SignalTap II就能顺利的观察到cnt的值!!重点是不需改top moduleinterface,只需对想观察的reg加上synthesis attribute即可。

signaltap2_reg3

Quartus II也支援Verilog 2001的語法

  1. module SignalTapII_register_preserve (
  2.    input iCLK,
  3.    input iRST_N
  4. );
  5. // Verilog 2001
  6. //(*noprune*) reg [3:0] cnt;
  7. always@(posedge iCLK, negedge iRST_N) begin
  8.   if (!iRST_N)
  9.      cnt <= 4'h0;
  10.   else
  11.      cnt <= cnt + 4'h1;
  12. end
  13. endmodule  


7行
(*noprune*) reg [3:0] cnt;

这是Verilog 2001的语法,Quartus II 8.0也能看得懂。

若希望整个modulereg都不被最佳化,可将synthesis attribute放在module

  1. module SignalTapII_register_preserve (
  2.    input iCLK,
  3.    input iRST_N
  4. ) /*synthesis noprune*/;
  5. reg [3:0] cnt;
  6. always@(posedge iCLK, negedge iRST_N) begin
  7.   if (!iRST_N)
  8.      cnt <= 4'h0;
  9.   else
  10.      cnt <= cnt + 4'h1;
  11. end
  12. endmodule  


1行
module SignalTapII_register_preserve (
input iCLK,
input iRST_N
//);
) /*synthesis noprune*/;

module SignalTapII_register_preserve (
input iCLK,
input iRST_N
)
/*synthesis preserve*/;

观察 wire 同样的,在 SignalTapII 观察 wire 时,有时也会因为被 QuartusII 优化掉而无法用 SignalTapII 观察。 SignalTapII_wire_not_keep.v / Verilog moduleSignalTapII_wire_not_k

观察wire
同样的,在SignalTap II观察wire时,有时也会因为被Quartus II优化掉而无法用SignalTap II观察。

SignalTapII_wire_not_keep.v / Verilog

  1. module SignalTapII_wire_not_keep (
  2.    input         iCLK,
  3.    input         iRST_N,
  4.    output [3:0] oCNT
  5. );
  6. wire [3:0] Cnt;  
  7. reg   [3:0] cnt;
  8. assign Cnt = cnt;
  9. assign oCNT = Cnt;
  10. always@(posedge iCLK, negedge iRST_N) begin
  11.   if (!iRST_N)
  12.      cnt <= 4'h0;
  13.   else
  14.      cnt <= cnt + 4'h1;
  15. end
  16. endmodule


7行
wire [3:0] Cnt;

假设我想用SignalTap II去观察Cnt这个wire

signaltap2_reg4

Cnt都是0,显然不合理,表示SignalTap II无法capture cnt这个wire的值。为什么会这样呢?

因为Cnt这个wire已经被Quartus II优化不见了!!

不过有时为了debug方便,我们就是想观察这种wire,有办法让Quartus II暂时不要启动最佳化吗?

SignalTapII_wire_keep.v / Verilog

  1. module SignalTapII_wire_keep (
  2.    input         iCLK,
  3.    input         iRST_N,
  4.    output [3:0] oCNT
  5. );
  6. wire [3:0] Cnt /*synthesis keep*/;  
  7. reg   [3:0] cnt;
  8. assign Cnt = cnt;
  9. assign oCNT = Cnt;
  10. always@(posedge iCLK, negedge iRST_N) begin
  11.   if (!iRST_N)
  12.      cnt <= 4'h0;
  13.   else
  14.      cnt <= cnt + 4'h1;
  15. end
  16. endmodule  

|
7行
wire [3:0] Cnt /*synthesis keep*/;

signaltap2_reg5

Quartus II也支援Verilog 2001的語法

  1. module SignalTapII_wire_keep (
  2.    input         iCLK,
  3.    input         iRST_N,
  4.    output [3:0] oCNT
  5. );
  6. // Verilog 2001
  7. (*keep*) wire [3:0] Cnt;
  8. reg   [3:0] cnt;
  9. assign Cnt = cnt;
  10. assign oCNT = Cnt;
  11. always@(posedge iCLK, negedge iRST_N) begin
  12.   if (!iRST_N)
  13.      cnt <= 4'h0;
  14.   else
  15.      cnt <= cnt + 4'h1;
  16. end
  17. endmodule


8行
(*keep*) wire [3:0] Cnt;

这是Verilog 2001的语法,Quartus II 8.0也能看得懂。

结语
关于避免Quartus II优化reg/*synthesis noprune*//*synthesis preserve*/还是有些差异,程序写到很大时,可能一时很难决定要用哪一个attribute,可以交替试试看,反正1/2的机会,总会对一个。会使用synthesis attribute之后,总算解掉长久以来,无法用SignalTap II观察regwire的老问题。

多了/*synthesis keep*/这个synthesis attribute指示Quartus II不要对Cnt做最佳化,保留此wire以供SignalTap II观察注意必须写在分号前面,不能如下写在分号后面
wire [3:0] Cnt;/*synthesis keep*/ //


编译后,SignalTap II就能顺利的观察到Cnt的值!!重点是不需改top moduleinterface,只需对想观察的wire加上synthesis attribute即可。

/*synthesis noprune*/放在module,这样整个modulereg将不被最佳化,不用再一一指定。

另外一个与reg相关的Synthesis Attribute/*synthesis preserve*/
reg相关的attribute,除了/*synthesis noprune*/可用,还有一个/*synthesis preserve*/可用,两者的差异在于:

/*synthesis noprune*/避免Quartus II优化掉没outputreg

/*synthesis preserve*/避免Quartus IIreg优化为常数,或者合并重复的reg

也可以使用Verilog 2001的写法

//(*preserve*) reg [3:0] cnt;
阅读(7121) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~