Chinaunix首页 | 论坛 | 博客
  • 博客访问: 2498671
  • 博文数量: 319
  • 博客积分: 9650
  • 博客等级: 中将
  • 技术积分: 3881
  • 用 户 组: 普通用户
  • 注册时间: 2009-03-27 21:05
文章分类

全部博文(319)

文章存档

2017年(5)

2016年(10)

2015年(3)

2014年(3)

2013年(10)

2012年(26)

2011年(67)

2010年(186)

2009年(9)

分类:

2010-07-22 21:33:20

规范很重要
  工 作过的朋友肯定知道,公司里是很强调规范的,特别是对于大的设计(无论软件
还是硬件),不按照 规范走几乎是不可实现的。逻辑设计也是这样:如果不按规范做的
话, 过一个月后调试时发现有错,回头再看自己写的代码,估计很多信号功能都忘了,5 {* E& C. y" T7 N
更不要说检错了;如果一个项目做了一半一个人走了,接班的估计得从头开始设计;如
果需要在原来 的版本基础上增加新功能,很可能也得从头来过,很难做到设计的可重用4 T* p# A3 |. _1 \! x1 n7 w
性。FPGA设计网论坛 专业FPGA设计论坛6 k% `6 m: R0 u; r
  
8 x* M* |3 M' Q9 d. I
在逻辑方面,我觉得比较重要的规范有这些:
  1.设计必须文档化。 要将设计思路,详细实现等写入文档,然后经过严格评审通过
后才能进行下一步的工作。这样做乍看起来很花 时间,但是从整个项目过程来看,绝对4 b/ w$ F5 G% f) R4 t! O- a5 |
要比一上来就写代码要节约时间,且这种做法可以使项目处于可控、可实现的状态。3 H3 C  ~1 `8 q1 ~' H5 m+ L; \; E
  2.代码规范。/ ?; `+ j7 m( Q! n6 r
  a.设计要参数化。比如一开始的设计时钟周期是30ns,复位周期是5个时钟周期,我
们可以这么写:
        parameter  CLK_PERIOD = 30;
        parameter  RST_MUL_TIME = 5;FPGA设计网论坛 专业FPGA设计论坛7 y" `8 x" ^$ G% v6 h
        parameter  RST_TIME = RST_MUL_TIME * CLK_PERIOD;
        ...FPGA设计网论坛 专业FPGA设计论坛4 r+ q* r3 W0 N" w1 V
        rst_n = 1'b0;
        # RST_TIME rst_n = 1'b1;
        ...
        # CLK_PERIOD/2 clk <= ~clk;
    如果在另一个设计中的时钟是40ns,复位周期不变,我们只需对CLK_PERIOD进行重0 a6 R' V. i  U) y6 g6 _
新例化就行了,从而使得代码更加易于重用。
  b. 信号命名要规范化。
  1) 信号名一律小写,参数用大写。' ~! m6 J, G  E. |
  2) 对于低电平有效的信号结尾要用_n标记,如rst_n。
  3) 端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪
个模块去的关系排列,这样在后期仿真验证找错 时后  方便很多。如:FPGA 设计网论坛 专业FPGA设计论坛- s; Z4 [2 |! b. u- T) P
      module a(
              //input
              clk, }& C) e6 {# v  u' p$ d) p) l
              rst_n,  //globle signalFPGA设计网论坛 专业FPGA设计论坛: M6 _: V7 Y% D' s# u
              wren,
              rden,
              avalon_din,  //related to avalon bus7 z- c! Q' Y; e- g
              sdi,        //related to serial port input
              //output, V4 t- }5 J- v1 I; e7 \  o
              data_ready,
              avalon_dout, //related to avalon bus
              ...: M$ |# s; X3 C" ?# l
              );( f$ k2 Z3 V% {+ T  M+ |" ]. q
      4) 一个模块尽量只用一个时钟,这里的一个模块是指一个module或者是一个en! X% F1 B0 z1 C
tity。在多时钟域的设计中涉及到跨时钟域的设计中最好有专门一个模块做时钟域的隔
离。这样做可以让综合器综合出更优的结 果。
      5) 尽量在底层模块上做逻辑,在高层尽量做例化,顶层模块只能做例化,禁止
出现任何胶连逻辑(glue logic),哪怕仅仅是对某个信号取反。理由同上。
      6) 在FPGA的设计上禁止用纯组合逻辑产生latch,带D触发器的latch的是允许的
,比如配置 寄存器就是这种类型。
      7) 一般来说,进入FPGA的信号必须先同步,以提高系统工作频率(板级)。2 N$ b- {1 H' q/ H" k
      所有模块的输出都要寄存器化,以提高工作频率,这对设计做到时序收敛也
是极有好处的。5 e5 Z+ ?% r" d) J( h1 g
      9) 除非是低功耗设计,不然不要用门控时钟--这会增加设计的不稳定性,在要
用 到门控时钟的地方,也要将门控信号用时钟的下降沿 打一拍再输出与时钟相与。
              clk_gate_en      --------                    ----2 A- ?, v5 B' ~
              -----------------|D    Q |------------------|    \ gate_clk
_out8 a" C0 O9 g6 f/ E, w) P
                              |        |        ---------|      )--------
- S; Y  b* c1 N1 m- w: _2 y
                        ------o|>      |        |        |    /9 U$ m1 x7 p9 x+ M8 l
                clk    |      --------          |        ----% e3 c5 ?) u/ M5 L0 Q; P
              ------------------------------------  i% B. t; H) H: i3 c
      10)禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式
,否则这种时钟满天飞的方式对设计的可 靠性极为不利,也大大增加了静态时序分析的; z9 d  ~' _$ _
复杂性。如FPGA的输入时钟是25M的,现在系统内部要通过RS232与PC通信,要以rs232_$ s% Q' B$ y9 |& m, H
1xclk的速率发送数据。
        不要这样做:, v1 q6 i, V3 |
        always (posedge rs232_1xclk or negedge rst_n)8 C! b" B" G* D' s$ }  b
        begin, L: j% @- K4 @2 ^# M8 G
            ...
        end) e! k/ Y& |: ^  R# Y. [
        而要这样做: Z! A- U% S  X
        always (posedge clk_25m or negedge rst_n)- q+ |4 Z3 G: H/ V5 E' B
        begin5 |+ N. L2 H2 V  M
            ...
            else if ( rs232_1xclk == 1'b1 )
            ...9 s8 b! T6 K/ Q
        end
      11)状态机要写成3段式的(这是最标准的写法),即5 G1 z. C4 z3 r: h; m
        ...
        always @(posedge clk or negedge rst_n)
        ...
            current_state <= next_state;/ y' H; {4 a& D  u9 l, k; j; {
        ...
        always @ (current_state ...)FPGA设计网论坛 专业FPGA设计论坛: u% g) ~# `( j6 B' e7 b
        ...FPGA 设计网论坛 专业FPGA设计论坛, K+ S3 g6 w4 o3 R: A+ z
        case(current_state)
              ...FPGA 设计网论坛 专业FPGA设计论坛9 Q% K5 ~5 m" r" v
              s1:
                  if ...
                    next_state = s2;
              ...
        ...
        always @(posedge clk or negedge rst_n)
        ... k5 i2 P3 `4 e% ?: e5 S  H
            else
                a <= 1'b0;
                c <= 1'b0;
                c <= 1'b0;            //赋默认值
                case(current_state) R: y. w' P2 `3 s* D
                    s1:FPGA设计网论坛 专业FPGA设计论坛$ D6 y1 K' G) n1 C
                        a <= 1'b0;  //由于上面赋了默认值,这里就不用再对b
、 c赋值了(b、c在该状态为0,不会产生锁存器,下同)
                    s2:FPGA设计网论坛 专业FPGA设计论坛9 y. ~( H1 F- N# ?' ~) p) y
                        b <= 1'b1;* O/ m7 a" |2 g- H5 K
                    s3:8 C) A0 k; ?* ?# T0 o* K  C
                        c <= 1'b1;: Z! ?  p. s: Q2 J' u+ N
                    default:& E5 o4 H/ D! `* ?# R# W4 y5 P
                    ...$ m3 I. R. q! c) I
        ...
      3.ALTERA参考设计准则
      1) Ensure Clock, Preset, and Clear configurations are free of glitchFPGA设计网论坛 专业FPGA设计论坛, ^4 ], ^* e  Q; v3 Q
es.& m1 V+ i8 [5 e+ c, a* e, D% x
      2) Never use Clocks consisting of more than one level of combinatori
al logic.: C! C/ z' ?, p. {
      3) Carefully calculate setup times and hold times for multi-Clock sy( P6 L4 ]" r& V9 a( h
stems.FPGA设计网论坛 专业FPGA设计论坛$ X  N8 I) t4 V% U+ l. L& H
      4) Synchronize signals between flipflops in multi-Clock systems whenFPGA设计网论坛 专业FPGA设计论坛5 V* B4 Z4 J0 ~" ~7 S
the setup and hold time requirements cannot be met.FPGA设计网论坛 专业FPGA设计论坛& \% {" v& Q/ y$ x& @# C
      5) Ensure that Preset and Clear signals do not contain race conditio
ns.
      6) Ensure that no other internal race conditions exist.
      7) Register all glitch-sensitive outputs.; }4 Q! W7 P( d
        Synchronize all asynchronous inputs.3 c: \: R% H! t
      9) Never rely on delay chains for pin-to-pin or internal delays.
      10)Do not rely on Power-On Reset. Use a master Reset pin to clear al
l flipflops.
      11)Remove any stuck states from state machines or synchronous logic.0 Y* V; _0 j+ g! `$ P3 ]3 R
    其它方面的规范一时没有想到,想到了再写,也欢迎大家补充。8 c0 }# t& |: B+ L
9 Q2 t( {3 Z9 G1 U+ f6 N
====================================================================================" E, _' f% N4 ~% \+ F5 L9 B
时序是设计出来的+ z8 k/ b5 v2 ]+ Q0 n6 C
  我的boss有在华为及峻龙工作的背景,自然就给我们讲了一些华为及altera做逻辑: `4 E# L+ ^! N$ |! f1 X
的一些东西,而我们的项目规范,也基本上是按华为的那一套去做。在工作这几个月中
,给我感触最深的是华为的那句 话:时序是设计出来的,不是仿出来的,更不是湊出来
的。6 O' ]# F/ p4 q# J+ e8 E
  在我们公司,每一个项目都有很严格的评审,只有评审通过了,才能做下一步的工
作。以做逻辑为例,并不是一上来就开始写代码,而是要先写总体设计方案和逻辑详细# q/ D" G6 X7 }4 Y' a1 j
设计方案,要等这些方案评审通过,认为可行了,才能进行编码,一般来说这部分工作
所占的时间要远大于编码 的时间。9 U; H- T& ]( D
  总体方案主要是涉及模块划分,一级模块和二级模块的接口信号和时序(我们要求( u$ y2 q8 [0 h( Q4 `8 @
把接口信号的时序波形描述出来)以及将来如何测试设计。在这一级方案中,要保证在
今后的设计中 时序要收敛到一级模块(最后是在二级模块中)。什么意思呢?我们在做; n) b8 X* y% g
详细设计的时候,对于一些信号的时序肯定会做一些调整的,但是这种时序的调整最多; j6 p5 o: N7 |% i# e
只能波及到本一级模块,而不能影响到整个设计。记得以前在学校做设计的时候,由于
不 懂得设计时序,经常因为有一处信号的时序不满足,结果不得不将其它模块信号的时
序也改一下,搞得人很郁闷。
  在 逻辑详细设计方案这一级的时候,我们已经将各级模块的接口时序都设计出来了$ Z( G% Y8 a* ~1 ?; K" |
,各级模块内部是怎么实现的也基本上确定下来了。
  由 于做到这一点,在编码的时候自然就很快了,最重要的是这样做后可以让设计会+ H9 ~! U4 Q1 U* ]% k& ]3 f2 o$ Q
一直处于可控的状态,不会因为某一处的错误引起整个设计从头进行。

阅读(1945) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~