Chinaunix首页 | 论坛 | 博客
  • 博客访问: 1011313
  • 博文数量: 159
  • 博客积分: 4079
  • 博客等级: 上校
  • 技术积分: 2373
  • 用 户 组: 普通用户
  • 注册时间: 2009-03-24 13:35
个人简介

诚实守信!

文章分类

全部博文(159)

文章存档

2015年(2)

2014年(18)

2013年(9)

2012年(57)

2011年(31)

2009年(42)

分类:

2009-09-08 14:49:43

module D_latch(
 D,       //输入选择地址由开关决定,0:按下,1:未按下
 E,       //输入选择地址由开关决定,0:按下,1:未按下
 
 Q      //输出,0:点亮,1:熄灭
 );
input   D;     //D锁存器输入
input   E;     //D锁存器输入
output  Q;     //D锁存器输出
reg    Q;     //寄存器说明
always @(D or E)    //一旦D或则E变化就执行
begin
 if(E)      //当E=1的时候D才可以赋值给Q,其它状态不执行
  Q <= D;
  else;
end
endmodule
阅读(1420) | 评论(0) | 转发(0) |
0

上一篇:译码器

下一篇:编码器

给主人留下些什么吧!~~