Chinaunix首页 | 论坛 | 博客
  • 博客访问: 569883
  • 博文数量: 65
  • 博客积分: 2844
  • 博客等级: 上尉
  • 技术积分: 996
  • 用 户 组: 普通用户
  • 注册时间: 2010-07-02 12:01
文章分类

全部博文(65)

文章存档

2014年(7)

2012年(20)

2011年(35)

2010年(3)

分类: IT业界

2011-07-20 21:41:59


上一篇博文中,已经把三个模块的源代码都列出来了,本片博文主要讲述把分频器和接收器模块组合成一个可用的接收器,即可以通过该接收器,实现pc机发送信息,而FPGA接收信息。
用xilinx公司的软件ISE10.1编译,编写ucf文件,使FPGA接收到的信息和8个LED相对应,布局布线,把编译好的程序下载到FPGA中,利用串口调试工具,频率设置成115200,设置成十六进制,在发送信息里写:86,点击发送,在FPGA上的8个led对对应显示:10000110(1:led亮,0:led灭)

  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5. ---- Uncomment the following library declaration if instantiating
  6. ---- any Xilinx primitives in this code.
  7. --library UNISIM;
  8. --use UNISIM.VComponents.all;
  9. entity TopReceive is
  10. Port ( clk100mhz : in STD_LOGIC; -- FPGA的时钟
  11. reset : in STD_LOGIC; -- 总reset, = '1'时复位
  12. rxd : in STD_LOGIC; -- 接受数据(Received Data,RXD),通过RXD终端接受外来数据
  13. rec_ready : out STD_LOGIC; -- 数据接受完毕信号, <= '1',表示接受完毕,只是标志?在本程序无控制作用
  14. recbuf : out STD_LOGIC_VECTOR (7 downto 0)); -- 数据接受缓冲区,存放接受数据
  15. end TopReceive;
  16. architecture Behavioral of TopReceive is
  17. component baud -- 分频元件
  18. Port(
  19. clk :in STD_LOGIC; -- 待分频时钟
  20. resetb :in STD_LOGIC; -- RESET 信号, <= '1' 有效
  21. bclk :out STD_LOGIC -- 分频后时钟输出
  22. );
  23. end component;
  24. component receiver -- receiver元件
  25. Port( bclkr : in STD_LOGIC; -- 分频后的时钟
  26. resetr : in STD_LOGIC; -- RESET OF RECEIVER,<= '1' 有效
  27. rxdr : in STD_LOGIC; -- RXD IN RECEIVER COMPONENT
  28. r_ready : out STD_LOGIC; -- RECEIVER 接受数据后给出的ready信号
  29. rbuf : out STD_LOGIC_VECTOR (7 downto 0) -- 数据接受缓冲区
  30. );
  31. end component;
  32. signal b: STD_LOGIC; -- 全局分频信号,与bclk,bclkr,bclkt等价
  33. begin
  34. -- 顶层映射
  35. u1:
  36. baud port map( clk => clk100mhz,
  37. resetb => reset,
  38. bclk => b
  39. );
  40. u2:
  41. receiver port map(
  42. bclkr => b,
  43. resetr => reset,
  44. rxdr => rxd,
  45. r_ready => rec_ready,
  46. rbuf => recbuf
  47. );
  48. end Behavioral;


阅读(3516) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~