Chinaunix首页 | 论坛 | 博客
  • 博客访问: 616930
  • 博文数量: 486
  • 博客积分: 10125
  • 博客等级: 上将
  • 技术积分: 5842
  • 用 户 组: 普通用户
  • 注册时间: 2008-10-27 18:34
文章分类

全部博文(486)

文章存档

2011年(52)

2010年(107)

2009年(289)

2008年(38)

我的朋友

分类:

2008-11-06 14:57:49

1

标签: verilog  generate  

Verilog中generate用法

generate可以用for,case,if。其中,generate实例化多个器件很简洁。

generate

  genvar j ;

  for(j=0;j<=INST_NUM;j=j+1)

    begin : inst

      dff #(PARAMETER) unit(.clk(clk),

                                               .ena(ena),

                                                .in(in),

                                                 .out(out)

                                                ) ;

 end

endgenerate

1,genvar后面的for,变量必须是genvar变量;generate+if,不如`ifdef `else `endif

2,for里必须有begin,哪怕只有一句

3,begin必须有名称,原因见4

4,这里例化的模块名称是inst[j].unit

5,generate语句可以看作是标准化为块的综合指令

阅读(1886) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~