Chinaunix首页 | 论坛 | 博客
  • 博客访问: 1147054
  • 博文数量: 173
  • 博客积分: 4048
  • 博客等级:
  • 技术积分: 2679
  • 用 户 组: 普通用户
  • 注册时间: 2010-09-12 18:53
文章分类

全部博文(173)

文章存档

2018年(1)

2016年(1)

2013年(1)

2012年(118)

2011年(52)

分类: 嵌入式

2013-04-19 09:40:12

一个简单的异步复位的例子

always @ (posedge clk or negedge rst_n)


         if(!rst_n) b <= 1'b0;


         else b <= a;


 



 


         我们可以看到FPGA的寄存器都有一个异步的清零端(CLR),在异步复位的设计中这个端口一般就是接低电平有效的复位信号rst_n。即使说你的设计中是高电平复位,那么实际综合后会把你的复位信号反向后接这个CLR端。


 


       一个简单的同步复位的例子


always @ (posedge clk)


         if(!rst_n) b <= 1'b0;


         else b <= a;


 



 


         和异步复位相比,同步复位没有用上寄存器的CLR端口,综合出来的实际电路只是把复位信号rst_n作为了输入逻辑的使能信号。那么,这样的同步复位势必会额外增加FPGA内部的资源消耗。


 


       那么同步复位和异步复位到底孰优孰劣呢?


       只能说,各有优缺点。同步复位的好在于它只在时钟信号clk的上升沿触发进行系统是否复位的判断,这降低了亚稳态出现的概率;它的不好上面也说了,在于它需要消耗更多的器件资源,这是我们不希望看到的。FPGA的寄存器有支持异步复位专用的端口,采用异步复位的端口无需额外增加器件资源的消耗,但是异步复位也存在着隐患,特权同学过去从没有意识到也没有见识过。异步时钟域的亚稳态问题同样的存在与异步复位信号和系统时钟信号之间。


 


       再看下面一个两级寄存器异步复位的例子


 


always @ (posedge clk or negedge rst_n)


         if(!rst_n) b <= 1'b0;


         else b <= a;


        


always @ (posedge clk or negedge rst_n)


         if(!rst_n) c <= 1'b0;


         else c <= b;      


 



 


         正常情况下,clk的上升沿c更新为bb更新为a。一旦进入复位,b,c都清零;但是我们不能确定复位信号rst_n会在什么时候结束。如果结束于b_reg0c_reg0{launch edge –stup,launch edge+hold}时间只外,那么一切都会正常。但如果恰恰相反,会出现什么情况呢? rst_n的上升变化出现在了clk上升的建立保持时间上,此时clk检测到的rst_n的状态就会是一个亚稳态(是01不确定)。从代码里我们看到如果此时b_reg0c_reg0认为rst_n0,那么依然保持复位清零,而如果认为rst_n1,那么就跳出复位。因为此时的rst_n的不确定性,就可能出现4种情况,即b_reg0c_reg0都复位或者都跳出复位,再或者一个复位一个跳出复位。那么后者就会造成了系统工作不同步的问题,在这个简单的两级异步复位实例中这种危害表现的并不明显,但是我们试想一个大的工程项目里众多的寄存器出现如此情况又会是如何一番景象呢?


       上面的分析似乎都让人意识到同步复位和异步复位都不可靠,那么如何将两者结合,取长补短呢。


 


       异步信号同步化


always @ (posedge clk)


         rst_nr <= rst_n;                 //现将异步复位信号用同步时钟打一拍


 


always @ (posedge clk or negedge rst_nr)


         if(!rst_nr) b <= 1'b0;


         else b <= a;


        


always @ (posedge clk or negedge rst_nr)


         if(!rst_nr) c <= 1'b0;


         else c <= b;      


 



 


         如此一来,既解决了同步复位的资源消耗问题,也解决了异步复位的亚稳态问题。其根本思想,也是将异步信号同步化。


异步复位同步释放

下图是原理图:

 

 

Altera官方教程给出的代码如下:


 

module reset_gen ( 

 

  output rst_sync_n, 

 

  input  clk, rst_async_n);

 

 

 

logic rst_s1, rst_s2;

 

 

always_ff @ (posedge clk, posedge

 

rst_async_n)

 

 

 

  if (rst_async_n) begin         

 

  rst_s1 <= 1'b0;

 

  rst_s2 <= 1'b0;

 

  end

 

  else begin

 

  rst_s1 <= 1'b1;

 

  rst_s2 <= rst_s1;

 

  end

 

assign rst_sync_n = rst_s2; 

 

endmodule

阅读(2358) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~