Chinaunix首页 | 论坛 | 博客
  • 博客访问: 109654
  • 博文数量: 52
  • 博客积分: 5800
  • 博客等级: 大校
  • 技术积分: 1430
  • 用 户 组: 普通用户
  • 注册时间: 2009-11-14 12:15
文章分类
文章存档

2011年(1)

2010年(21)

2009年(30)

我的朋友

分类:

2009-12-15 15:46:55

电力电气、电子电路+-

~~~~~~~~~~~~~~~~~~~

InnovEDA产品:

InnovEDA.E-Sim.v4.1 1CD

InnovEDA.FabFactory.7.0 1CD

InnovEDA PowerPCB Suite v4.0 1CD

InnovEDA.Visual.HDL.v6.7.8.for.Veril 1CD

InnovEDA.Visual.HDL.v6.7.8.for.VHDL 1CD

InnovEDA.Visual.IP.v4.4.1 1CD

 

DownStream.Technologies产品:

BluePrint-PCB v2.1.0.463 1CD(自动化PCB文档制作)

CAM350 v10.1.0.363 1CD(提供了从PCB设计到生产制程的完整流程,从PCB设计数据到成功的PCB生产的转化将变得高效和简化)

 

CAMtastic产品:

Camtastic2000 v3.03 1CD(PCB设计过程中的CAM技术)

 

Baas Electronics产品:

Layo1.PCB.Design.Pro.v10.0 1CD(设计和制造电子测量和控制设备等机械制图专业软件)

 

MiG GmbH & Co.产品:

WASP-NET.V5.4.3-ISO 1CD(微波和孔口天线设计方面的快速准确的计算机辅助设计和优化软件)

 

GraphiCode产品:

GC-PowerStation.v7.1.4 1CD(即以前的GC-CAM 4.14的高版本,印刷电路板设计与制造CAD工具软件)

 

Etap产品:

Etap.PowerStation.v7.0-ISO 1CD(电气专业设计、分析软件)

 

MemResearch产品:

Memresearch EM3DS v2008 1CD(意大利产出的电磁三维软件)

 

SimLab Software产品:

SimLab.Suite.2008 1CD(专门提供电缆、电缆束和电路板信号完整性(SI)、电源完整性(PI)和电磁干扰性(EMI)相关分析软件)

 

Sisoft产品:

Sisoft Quantum-SI 2008.10 SP4 1CD(信号完整性仿真分析)

 

PowerSIM产品:

PSIM v6.1.2.111 1CD(仿真PowerPC指令集的模拟器)

PSIM Plus v5.0 网络版 1CD

Powersim Studio 2005 v6.00.3372.6 1CD

 

Agilent Technologies Inc.产品:

Agilent.89600.Vector.Signal.Analyzer.v10.01-ISO 1CD(频谱分析)

Agilent.Electromagnetic Professional(EMPro).v2009.02 1CD(3D电磁场设计平台)

Agilent RF Design Environment(RFDE) 2008 linux 1CD

Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06 1CD(电子仿真平台)

Agilent.Advanced.Design.System(ADS).v2009.0.Win32 & Win64-ISO 1DVD(电子设计自动化软件)

Agilent.Advanced.Design.System(ADS).v2009.0.U1.Win32 & Win64 1DVD

Agilent.Advanced.Design.System(ADS).v2008.01.Linux32 & Linux64-ISO 1DVD 

Agilent ADS 2005A 快速入门中文教程

Agilent ADS 中文视频教程(台湾)

Agilent ADS详尽教程

Agilent ADS中文教程

 

Agilent EMDS 2006B 1CD(电磁设计系统)

Agilent.Genesys.v2009.04.SP1-ISO 1CD(射频EDA软件)

Agilent.Genomic.Workbench.v5.0.14 1CD

Agilent.Genomic.Workbench.x64.v5.0.14 1CD

Agilent.Genomic.Workbench.Linux.v5.0.14 1CD

Agilent.Genomic.Workbench.MacOSX.v5.0.14 1CD

Agilent SystemVue 2009.09 1CD

Agilent.IC-Cap.v2009.Win32 & Win64-ISO 1CD(集成电路表征和分析程序)

Agilent.IC-Cap.v2009.Linux32-ISO 1CD

Ommic ED02AH Libary v2.6 for ADS 2002 1CD

Simetrix Simplis v5.3 1CD(完全解密)

Simetrix Simplis manuals (用户手册)

Agilent.Testexec.SL.v5 1CD

 
==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
Agilent.VEE.Pro.v9.0 1CD(是为测试程序开发者提供的一种高效、简便易用的图形编程环境)

Agilent.VEE.Pro.v7.5.Addon 1CD (帮助文件)

Agilent.Hfss.v5.6-ISO 1CD(专业 3D 高频系统全波电磁场模拟软件)

Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO 1CD(频谱分析)

 

ANSOFT产品:

Ansoft HFSS v12.0 Full-ISO 1CD(HF/RM/EM,世界上第一个商业化的三维结构电磁场仿真软件。可分析仿真任意三维无源结构的高频电磁场,

                               可直接得到特征阻抗、传播常数、S参数及电磁场、辐射场、天线方向图等结果。该软件广泛应用于无线和

                               有线通信、计算机、卫星、雷达、半导体和微波集成电路、航空航天等领域,以帮助客户设计世界一流的产品)

Ansoft Maxwell 3D v12.2 Win32-ISO 1CD(电磁场分析软件)

Ansoft Maxwell 3D v12.1 Win64-ISO 1CD

 

Ansoft Designer v4.0 -ISO 1CD(电子电磁仿真)

Ansoft Designer and Nexxim v2.2.0-ISO 1CD

Ansoft Designer v9.0 HFSS 1CD

 

Ansoft Ephysics v3.1 WinALL-ISO 1CD(Maxwell的一个插件,电子电磁设计)

Ansoft Ensemble v8.0 1CD

Ansoft Links 4.2-ISO  1CD

Ansoft OpTimetrics v 2.5-ISO 1CD

Ansoft PExprt v6.0 SP4-ISO 1CD(一种使用方便全图形界面的电磁设备设计软件,可帮助优化和加速汽车、

                               飞机、个人通信设备中的电气系统所使用的变压器、电感器和磁性元件的设计)

Ansoft.Q3DExtractor.v8.0-ISO 1CD

Ansoft Rmxprt v12.1-ISO 1CD(电力电子电磁器件设计、分析和优化工具)

Ansoft SCap v5.5 1CD(Ansoft HFSS V9.1的图示捕获工具)

Ansoft.Serenade.Densign.Environment.v8.71 1CD(射频微波、电路设计软件)

Ansoft.SIWave.4.0-ISO 1CD(全新的信号完整性仿真工具,特别适合于对高速PCB板和复杂的IC封装进行信号完整性分析)

Ansoft Simplorer v8.0-ISO 1CD(强大的多领域复杂系统仿真软件包,包含机电元件、电子线路、控制算法在内的

                               系统仿真和多种仿真算法,对机电驱动系统和电力电子系统仿真分析,适合于进行

                               汽车电子、机电、电力电子和传动等领域的仿真)

Ansoft Simplorer v7.0 day1 & day2

Ansoft Spicelink v5.0-ISO 1CD(通用信号完整性电磁场仿真工具)

Ansoft.Turbo.Package.Analyzer(TPA).v4.1-ISO 1CD

Ansoft Max&Eph traning-ISO 1CD(教程)

Ansoft HFSS v10 用户手册(英文)

Ansoft Hfss 中文培训教程

Ansoft Hfss v9.0 培训影像

Ansoft Hfss v9.2 完全教程

Ansoft HFSS v9使用技巧

Ansoft HFSSv9边界条件和激励设置培训

Ansoft Hfss 9.2 用户指南

Ansoft Hfss 8.0 中文培训教程

Ansoft Maxwell 2D 3D 中文使用说明

Ansoft Maxwell 官方手册(英文)

Ansoft Rmxprt application 1CD

 

SAMTECH产品:

Samcef For Wind Turbines v1.1-ISO 1DVD(风力涡轮发电机设计的专业工程软件)

 

JMAG产品:

JMAG Designer v3.4 1CD(马达电磁分析软件)

JMAG Studio v9.0 1CD

 

Infolytica Corporation产品:

InfoLytica.MagNet.v6.11.2 1CD(高精度磁场解析模拟软件)

 

Silvaco产品:

Silvaco AMS 2008.09 1CD

Silvaco AMS 2008.09 Linux 1CD

Silvaco AMS 2008.09 Linux64 1CD

Silvaco AMS 2008.09 Solaris 1CD

Silvaco AMS 2008.09 Manual 1CD

Silvaco Iccad 2008.09 1CD

Silvaco Iccad 2008.09 Linux 1CD

Silvaco Iccad 2008.09 Linux64 1CD

Silvaco Iccad 2008.09 Solaris 1CD

Silvaco Iccad 2008.09 Manual 1CD

Silvaco Logic 2008.09 1CD

Silvaco Logic 2008.09 Linux 1CD

Silvaco Logic 2008.09 Linux64 1CD

Silvaco Logic 2008.09 Solaris 1CD

Silvaco Logic 2008.09 Manual 1CD

Silvaco SFLM 2008.09 1CD

Silvaco SFLM 2008.09 Linux 1CD

Silvaco SFLM 2008.09 Linux64 1CD

Silvaco TCAD 2008.09 1CD

Silvaco TCAD 2008.09 Linux 1CD

Silvaco TCAD 2008.09 linux64 1CD

Silvaco TCAD 2008.09 Solaris 1CD

Silvaco TCAD 2008.09 Manual 1CD

Silvaco Catalyst 2008.09 Linux 1CD

Silvaco Catalyst 2008.09 Linux64 1CD

Silvaco Catalyst 2008.09 Solaris 1CD

Silvaco Char 2008.09 Linux 1CD

Silvaco Char 2008.09 Linux64 1CD

Silvaco Char 2008.09 Solaris 1CD

Silvaco Firebird 2008.09 Linux 1CD

Silvaco Firebird 2008.09 Linux64 1CD

Silvaco Firebird 2008.09 Solaris 1CD

Silvaco Mode 2008.09 Linux 1CD

Silvaco Mode 2008.09 Linux64 1CD

Silvaco Mode 2008.09 Solaris 1CD

Silvaco Parasitic 2008.09 Linux 1CD

Silvaco Parasitic 2008.09 Linux64 1CD

Silvaco Parasitic 2008.09 Solaris 1CD
==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
Silvaco UT 2007.04 Linux 1CD

Silvaco UT 2007.04 Linux64 1CD

Silvaco UT 2007.04 Solaris 1CD

Silvaco VWF 2007.04 Linux 1CD

Silvaco VWF 2007.04 Linux64 1CD

Silvaco VWF 2007.04 Solaris 1CD

Parallel SmartSpice 1.9.3.E 1CD

 

AVANT!/SYNOPSYS产品:

Actel.Designer.v8.3.SP1.Windows-ISO 1CD(专业的FPGA器件开发软件,是一个能使FPGA的系统性能提高15%的布局工具)

Actel.Designer.v8.4.2.6.SP2-ISO 1CD

Actel.Designer.v8.3.SP1.Linux-ISO 1CD

Actel.Designer.v8.3.SP1.Solaris-ISO 1CD

Actel.Flashpro.v8.4-ISO 1CD(编程器)

Actel.Libero.IDE.Platinum.SPA.v8.6.1.3 1CD

Actel.Libero.IDE.v8.4.Windows-ISO 1DVD(FPGA产品设计)

Actel.Libero.IDE.v8.4.Linux-ISO 1DVD

Actel.CoreConsole.v1.4 1CD(IP开发平台 (IDP),有助于简化以FPGA为基础系统级应用的构建)

 

Synopsys Astro vZ-2007.03 SP10 Linux 1CD

Synopsys Astro vZ-2007.03 SP10 LinuxAMD64 1CD

Synopsys Astro IU vZ-2007.03 SP10 Linux 1CD

Synopsys Astro IU vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Rail vZ-2007.03 SP7 Linux 1CD(一个全面的功耗完整性分析和实现工具)

Synopsys.Astro-rail vZ-2007.03 SP7 LinuxAMD64 1CD

Synopsys.Astro-rail vZ-2007.03 SP7 Linuxipf 1CD

Synopsys Astro Rail vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARC64 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SPARCOS5 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE32 1CD

Synopsys Astro Tool vZ-2007.03 SP9 SUSE64 1CD

Synopsys.Aurora.vX-2006.09.Linux 1CD

Synopsys.Cadabra.vB-2008.09 Linux 1CD

Synopsys.Cadabra.vB-2008.09 SparcOS5 1CD

Synopsys.Cadabra.vB-2008.09 Suse32 1CD

Synopsys.CoCentric.System.Studio vX-2005.06 SP1 Linux 1CD

Synopsys.Common.Licensing(Scl) v1.2 for WinNT 1CD

Synopsys Component vC-2009.06 SP1 Win32 1DVD

Synopsys Component vC-2009.06 SP1 Linux 1DVD

Synopsys.CoreTools.vB-2008.12.SP2.Linux 1CD

Synopsys.CoreSynthesis.v2002.05 Linux 1CD

Synopsys CosmosScope vA-2007.12 SP2 WinALL 1CD

Synopsys CosmosScope vB-2008.09 SP1 Linux 1CD

Synopsys Cosmosscope vB-2008.09 SP1 Linux64 1CD

Synopsys CSS vC-2009.03 SP1 Linux 1CD

Synopsys CSS vC-2009.03 SP1 Msvc50 1CD

Synopsys CSS vC-2009.03 SP1 SparcOS5 1CD

Synopsys CSS vC-2009.03 SP1 GccsparcOS5 1CD

Synopsys.Customdesigner vC-2009.06 Linux 1CD

Synopsys.Customdesigner vC-2009.06 LinuxAMD64 1CD

Synopsys DC 2000.05 WinALL 1CD

Synopsys DDR DDR2 PHY TSMC 65GP25 Linux 1CD

Synopsys DWC DDR2 SMIC 130G33 Linux 1CD

Synopsys Design Compiler 2008.09 Linux 1CD

Synopsys.Designware.IP.v2001.08 Linux 1CD

Synopsys DFT Compiler 1 v2006.06 Linux 1CD

Synopsys DSP vC-2009.03 SP1 Win32 1CD

Synopsys DSP vC-2009.03 SP1 Linux 1CD

Synopsys.ESP.vC-2009.06 Linux 1CD

Synopsys.ESP.vC-2009.06 LinuxAMD64 1CD

Synopsys.ESP.vC-2009.06 Rs6000 1CD

Synopsys ESP vC-2009.06 Sparc64 1CD

Synopsys ESP vC-2009.06 SparcOS5 1CD

Synopsys ESP vC-2009.06 SUS32 1CD

Synopsys ESP vC-2009.06 SUS64 1CD

Synopsys ESP vC-2009.06 x86SOL32 1CD

Synopsys ESP vC-2009.06 x86SOL64 1CD

Synopsys Formality.vC-2009.06 Linux 1CD

Synopsys Formality.vC-2009.06 LinuxAMD64 1CD

Synopsys Fpga vC-2009.06 Win32 1CD

Synopsys Fpga vC-2009.06 Linux 1CD

Synopsys FpGA Compiler II v3.8 1CD

Synopsys.FPGA Express.V3.6.1.6817.Winall 1CD

Synopsys.FPGA.Express.Xilinx.Edition.V3.6.1 1CD

Synopsys Hercules vB-2008.09 Linux 1CD

Synopsys Hercules vB-2008.09 LinuxAMD64 1CD

Synopsys Hsimplus vC-2009.06 WinALL 1CD(高性能的晶体管级仿真器)
==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
Synopsys Hsimplus vC-2009.06 Linux 1CD

Synopsys Hsimplus vC-2009.06 LinuxAMD64 1CD

Synopsys Hsimplus vC-2009.06 Sparc64 1CD

Synopsys Hsimplus vC-2009.06 SparcOS5 1CD

Synopsys Hsimplus vC-2009.06 SUS32 1CD

Synopsys Hsimplus vC-2009.06 SUS64 1CD

Synopsys Hsimplus vC-2009.06 x86SOL32 1CD

Synopsys Hsimplus vC-2009.06 x86SOL64 1CD

Synopsys Hspice.vC-2009.03.SP1 WinALL 1CD(完全安装版,高精确度的模拟电路仿真软件)

Synopsys Hspice.vC-2009.09.Linux 1CD

Synopsys Hspice vC-2009.03 SP1 SparcOS5 1CD

Synopsys Hspice vY-2006.09.SP1 Doc 1CD

Synopsys IC Ccompiler vC-2009.06 SP2 Linux 1CD

Synopsys IC Ccompiler vC-2009.06 SP2 LinuxAMD64 1CD

Synopsys Ident vC-2009.06 SP2 Win32 1CD

Synopsys Ident vC-2009.06 SP2 Linux 1CD

Synopsys IDQ vC-2009.06 SP1 Linux 1CD

Synopsys IDQ vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys IDQ vC-2009.06 SP1 SUS32 1CD

Synopsys IDQ vC-2009.06 SP1 SUS64 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL32 1CD

Synopsys IDQ vC-2009.06 SP1 x86SOL64 1CD

Synopsys ISE TCAD v10.0 Linux 2CD(半导体元件制造与模拟软件)

Synopsys Jupiter vY-2006.06 SP1 Linux 1CD

Synopsys.Jupiterxt vZ-2007.03 SP10 Linux 1CD

Synopsys LEDA vB-2008.06 Linux 1CD

Synopsys LEDA vB-2008.06 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Linux 1CD

Synopsys Liberty NCX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 Sparc64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SparcOS5 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 SUS64 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL32 1CD

Synopsys Liberty NCX vC-2009.06 SP1 x86SOL64 1CD

Synopsys Magellan vB-2008.09 Linux 1DVD

Synopsys Magellan vB-2008.09 LinuxAMD64 1DVD

Synopsys.Mempro.v2001.11.For.NT 1CD

Synopsys MW vB-2008.09 Linux 1CD

Synopsys NanoSim tool vC-2009.06 Linux 1CD

Synopsys NanoSim tool vC-2009.06 LinuxAMD64 1CD

Synopsys.NanoSim.vB-2008.09.Sparc64 1CD

Synopsys.NanoSim.vB-2008.09.SparcOS5 1CD

Synopsys Ncx vB-2008.12 Linux 1CD

Synopsys NS Hsim XA vC-2009.06 Linux 1CD

Synopsys NS Hsim XA vC-2009.06 LinuxAMD64 1CD

Synopsys NS Hsim XA vC-2009.06 SparcOS5 1CD

Synopsys NS Hsim XA vC-2009.06 SUS32 1CD

Synopsys NS Hsim XA vC-2009.06 SUS64 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL32 1CD

Synopsys NS Hsim XA vC-2009.06 x86SOL64 1CD

Synopsys NS Hsim XA vC-2009.06Sparc64 1CD

Synopsys.NT.vC-2009.06.Linux 1CD

Synopsys PCI-X v2.0 1CD

Synopsys PP vV-2003.12 SP1 Linux 1CD

Synopsys.PrimePower vY-2006.06 Linux 1CD

Synopsys Primerail vA-2008.12.SP1 Linux 1CD

Synopsys primerail vB-2008.12 SP1 LinuxIA32 1CD

Synopsys primerail vB-2008.12 SP1 LinuxAMD64 1CD

Synopsys PrimeTime 2000.05-1 for winNT 1CD(静态时序分析工具)

Synopsys Primetime vB-2008.06 SP3 LinuxAMD64 1CD

Synopsys PTS vC-2009.06 Linux 1CD

Synopsys Ranxt vC-2009.06 SP1 Linux 1CD

Synopsys Ranxt vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Ranxt vC-2009.06 SP1 Sparc64 1CD

Synopsys Ranxt vC-2009.06 SP1 SparcOS5 1CD
==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
Synopsys Ranxt vC-2009.06 SP1 SUS32 1CD

Synopsys Ranxt vC-2009.06 SP1 SUS64 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL32 1CD

Synopsys Ranxt vC-2009.06 SP1 x86SOL64 1CD

Synopsys Saber B-2008.09 SP1 winALL 1CD(不同的工程领域--水利、电气、电子及机械等进行

                                    物理作用仿真的软件,也可作为信号流算法软件)

Synopsys Saber vC-2009.06 SP1 Win32 1CD

Synopsys Saber vC-2009.06 SP1 Linux 1CD

Synopsys SaberHDL Y-2006.06 WinALL 1CD

Synopsys Simif vB-2008.09 Linux 1CD

Synopsys Simif vB-2008.09 Sparc64 1CD

Synopsys Simif vB-2008.09 SparcOS5 1CD

Synopsys.Sold.v2009.03.Linux 1CD

Synopsys ssd vA-2007.09 Linux 1DVD

Synopsys Spice Explorer 2009.03.SP1 WinALL 1CD

Synopsys.Spice Explorer  vA-2008.03 SP1 Linux 1CD

Synopsys SX-a2008.03 SP1 Linux 1CD

Synopsys.Syn vC-2009.06 SP1 Linux 1CD

Synopsys Syn vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE32 1CD

Synopsys Syn vB-2008.09 SP5-2 SUSE64 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol32 1CD

Synopsys Syn vB-2008.09 SP5-2 x86sol64 1CD

Synopsys TCAD Sentaurus vA-2008.09 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 Linux 1CD

Synopsys Tcad Taurus MD vC-2009.06 LinuxAMD64 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 Linux 1CD

Synopsys Tcad Taurus TS4 vC-2009.06 LinuxAMD64 1CD

Synopsys TX vC-2009.06 SP1 Linux 1CD

Synopsys TX vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys TX vC-2009.06 SP1 SUS32 1CD

Synopsys TX vC-2009.06 SP1 SUS64 1CD

Synopsys TX vC-2009.06 SP1 x86SOL32 1CD

Synopsys TX vC-2009.06 SP1 x86SOL64 1CD

Synopsys TXS vC-2009.06 SP1 Linux 1CD

Synopsys TXS vC-2009.06 SP1 LinuxAMD64 1CD

Synopsys TXS vC-2009.06 SP1 SUS32 1CD

Synopsys TXS vC-2009.06 SP1 SUS64 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL32 1CD

Synopsys TXS vC-2009.06 SP1 x86SOL64 1CD

Synthesis Tools tool vZ-2007.03 SP1 Linux 1CD

Sentaurus vX-2005.10 SP1 Linux 1CD

Hspice 2005.09 英文用户手册

Hspice 语法手册

 

Synopsys Star-HSpice v2006 03 SP1 1CD(电路仿真软件)

Synopsys.Star-Rcxt vB-2008.12 SP2 Linux 1CD

Synopsys.Star-Rcxt vB-2008.12 SP2 LinuxAMD64 1CD

synopsys vera vZ-2006.12 Linux 1CD

Synopsys Vera v6.3.10 for linux & solaris 1CD

Synopsys.VCS.v6.0.1.WinNT_2k 1CD

Synopsys VCS vC-2009.06 Linux 2CD

Synopsys VCS vA-2008.09 LinuxAMD64 1CD

Synopsys VCS-MX v2009.06 Linux 2CD

Synopsys VCS-MX vA-2008.09 LinuxAMD64 2CD

Synopsys.2001.08.Core.Synthesis.for.linux 1CD 

 

Synplicity Amplify v3.7 1CD(第一款为FPGA设计的物理综合产品)

SynpliCity Identify RTL Debugger v2.0.1 1CD 

Synplify FPGA vC-2009.06 SP1 Win32 1CD

Synplify FPGA vC-2009.06 SP1 Linux 1CD

Synplify DSP v3.6 1CD

Synplify.Premier.v9.61 Linux 1CD

Synplify.Premier.v9.6.2.with.Identify.v3.02 1CD(针对复杂可编程逻辑设计的功能强大的FPGA 综合工具,独有的特性和极快的运算速

                                                度使它成为业界的最流行的也是最强力的综合工具,而且还附加了调试于优化功能)

Synplify Pro v9.2.2 Linux 1CD

Synplify v8.5 with Identify v2.3.2 Linux 1CD

Synplify ASIC v5.02 for win & linux & sun & unix 1CD

Taurus Medici vV-2003.12 linux 1CD

Virtio VPAI 2.0 Platform 1CD

 

Bosch Rexroth Group产品:

Bosch.Rexroth.Indraworks.v7.04-ISO 3CD(是一个简单易操作的工程环境,用于所有力士乐电子控制系统及驱动系统)

Bosch.Rexroth.WinStudio.v6.5.WinNT_2K 1CD(提供了制造执行系统(MES)和用于监控及性能监视功能的数据采集与监视控制系统(SCADA)

 

SANDWORK DESIGN INC.产品:

Design Spice Explorer v2007.1 1CD

Design Spice Explorer v2003.1 Linux 1CD

 

Tanner产品:

Tanner.L-EDIT.pro.with.LVS.v10.0-ISO  1CD(IC设计验证系统软件)

Tanner.S-EDIT.v7.03 1CD(电路框架的制作和编辑工具)

Tanner.T-SPICE.Pro.v8.1(大规模模拟和混合信号IC的精确高效分析模拟软件)

Tanner Tools v14.00 1CD(集成电路设计环境)

 

AMTECH产品:

Amtech.v2006-ISO 1CD(强大的电气软件套装包括了电气设计、测试、检验、协同工作和快速单线缆计算等功能)

Amtech.ProDesign.NEC.v9.2.5-ISO 1CD(符合NEC及IEEEE标准设计与分析电子系统的功能强大的软件系统)

 

CIM-TEAM Inc.产品:

CIM-Team DDS-C R12 1CD(设计车间,机械建筑,采矿业以及发电厂的工程设计程序)

E3.series.2009.v800-ISO 1DVD(英文版)

 

VANDERPLAATS R&D产品:

Valor Genesis2000 v8.0a WinNT4_2K 1CD

Valor GeneSIS 2000 中文教程

 

Valor Enterprise 3000 v7.2.4 1CD(是为 OEMs 和 PCB 设计者开发的DFM软件。其实际上是一个虚拟的生产系统,

                                 可以让OEM厂商模拟整个生产过程:从设计到生产的整个流程。可以优化设计,

                                 减少修改次数,让你从快速的市场反应中获益,并且提高产品质量)

Valor EnterPrise 3000 v7.2.4 Docs Addon 1CD

 

CADENAS产品:

Cadence.AMS.Methodology.Kit.6.12.Linux 7CD

Cadence ANLS v6.1 Linux 2CD

Cadence.Assura v4.10.002 Linux 5CD

Cadence Assura v3.13 for IC4.46-ISO 2CD(新一代深亚微米模拟和混合IC版图验证、寄生参数提取以及分辩率增强可制造性解决方案)

Cadence OrCAD v16.2-ISO 2CD(电子电路设计软件) 

Cadence OrCAD Capture CIS 9 实用教程 1CD

Cadence OrCAD  问题集锦 1CD

 

Cadence.Allegro.PCB.Design.v16.2-ISO 3CD(专业的电路板的设计软件,适合于一个全新项目的PCB 设计)

Cadence.Allegro.PCB.v16.20.014 Update Only 1CD

Cadence.BSIMProPlus.v5.1 1CD(提供了全套的解决方案,包括采用Virtuoso器件模型(BSIMProPlus)来提取

                             和调整硅的可靠性模型以及用UltraSim全芯片模拟器进行的全芯片可靠性模拟和分析)

Cadence Conformal v8.1 Linux 1CD

Cadence Conformal v8.1 LinuxAMD64 1CD
==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
Cadence.CTS v9.1 Linux 1CD

Cadence EMGR v08.02.001 Linux 1CD

Cadence Encounter RTL Compiler v8.1 Linux 1CD

Cadence ETS v8.10 Linux 3CD

Cadence.EXT 7.1 Linux 1CD

Cadenas PARTsolutions v8.0.23.Multilanguage-ISO  1CD(专业 CAD/CAM 绘图元件目录整合管理)

Cadence PAS v3.1 1CD(PDK自动化系统)

Cadence PSD 15.1-ISO 3CD(EDA开发工具包,它提供了从原理图设计输入、分析,PCB设计、PCB制造文件输出等一整套工具)

Cadence SOC Encounter v8.10.002 Linux 7CD(完整的综合布局布线系统)

Cadence SOCKIT v08.02.001 Linux 1CD

Cadence RC v9.10.100 Linux 1CD

Cadence RFKIT v8.1 Linux 4CD

Cadence SPB v16.2-ISO 3CD(强大的电子设计系统——包括I/O缓冲区、IC、封装及PCB设计人员的合作关系)

Cadence.SPB.v16.0.Linux 9CD

Cadence SPMN v08.02.001 Linux 1CD

Cadence TSI v6.1 Linux 2CD

Cadence MMsim v6.2 linux 7CD

Cadence MMsim v7.11.071 Linux 6CD

Cadence IC Craftsman v11.241 1CD

Cadence IC Design v6.11 Linux 9CD

Cadence IC Design v6.13 Update Linux 5CD

Cadence IC5141 ISR200906100325 Linux 4CD(IC5141最新升级文件)

Cadence IES v8.2 Linux 1CD

Cadence IFV v8.20.012 Linux 2CD

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1-ISO 1CD(逻辑设计与验证)

Cadence.Logic.Design.and.VerifiCation(LDV).v5.1.Linux 2CD

Cadence IUS v5.4 Win32-ISO 1CD

Cadence IUS v08.20 & USR5 Linux-ISO 9CD

Cadence.Allegro.v13.6-ISO 1CD(系统互联设计平台,此平台具有缩短PCB设计周期, 显著提高生产效率的特点)

Cadence SEV v4.1 Linux 1CD

Cadence SPW v4.9 Linux 1CD

Cadence Generic PDK090 v3.7 Linux 1CD

Cadence Generic PDK

Cadence CONFRML v5.0 Base 1CD

Cadence.IC设计.全资料教材 1CD

Allegro 14.2 中文教材

Allegro 15.X学习与使用(中文)

Cadence Allegro简易手册(中文版)

Cadence 使用参考手册(中文版)

CADence PCB设计中文教程

 

Accelerated.Designs.UltraLibrarian.Gold.2.0.82 1CD

Conformal Constraint Designer v6.1 1CD(在给定设计问题下确保有效时序约束的产品)

Cadence.Specctra.Router.v10.2 1CD(功能强大的PCB无网格自动布线器)

Cadence.Specman.Elite.v5.0.Linux-ISO 1CD

 

Laker 32 v4P2 Linux 1CD

Laker 32 v4p2 LinuxAMD64 1CD

Laker 32 v3 REDHAT9 1CD

Laker 31 v3p6a REDHAT72 1CD

Laker 32 v3p6 SOL7 1CD

Laker 32 v3p6 SOLARIS2 1CD

Laker 32 v4p2 Symbol 1CD

Laker 32 v3p6 Document 1CD

Laker 32 v3p6 LabBook

Laker Document 1CD(适用3.0版和更高版的用户手册)

Laker AMS v6.1p4 WinALL 1CD(电路图设计与调试环境)

Laker AMS v6.1p4 Linux

 

ADP 21 v3p5 Linux 1CD

ADP 21 v3p5 LinuxAMD64 1CD

ADP 21 v3p5 REDHAT9 1CD

ADP 21 v3p5 SOL7 1CD

ADP 21 v3p5 SOLARIS2 1CD

ADP 21 v3p5 symbol 1CD

ADP 21 v3p5 Document 1CD

 

Specctra ShapeBased Automation Software v15.1-ISO 1CD(基于层对交互/自动布线的功能)

PSC Design Kit 3.3 Linux 1CD

Pspice v9.2 1CD

Pspice 使用指南(中文)

NucleusUDB.v4.3(强大的、基于GUI的嵌入式应用源码级调试器,具有标准的内部开发结构,适用于Nucleus软件部件支持的大多数处理器)

Rimu.PCB.v1.07.WinALL 1CD(行业印刷电路板(PCB)的设计软件)

 

Intusoft产品:

ICAP/4 v8.1.6 for WinAll 1CD(电源仿真软件)

 

Aegis产品:

Aegis.CircuitCAM.Suite.v6.0.2.2 1CD(一个高端成熟的电路板生产CAM软件,生产建模程序)

Circuitcam v5.0使用手册(中文)

 

Aucotec产品:

Elcad.Aucoplan v7.5 Multilingual-ISO 1CD(ECAE系统,电子工程软件)

==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
Altium产品:

Altium Designer Winter 09 Bulid8.3.0.16776-ISO 1DVD(电子产品开发系统)

Altium Protel DXP v7.2.92.With.SP3 winNT-ISO 1CD

Protel DXP Altium v7.0 WinNT2000XP-ISO  1CD

Protel Dxp 2004 sp2-ISO( 完全安装版)

Protel DXP 2004 Sp4 1CD

Protel DXP 2004 Sp4 IntegratedLibraries 1CD

Protel DXP 2004 Sp3 集成库 1CD

Protel DXP 2004汉化及工具

Protel 98-ISO 1CD (简体中文破解版)

Protel 99SE Sp6 1CD(简体中文版,含第二版)

Protel 99 正式版 1CD

Protel 99 SE 的入门说明书(中文版)

Protel DXP Fpgalibraries 1CD

Protel DXP Trial Version 1CD

Protel DXP 电路设计及应用教程

Protel DXP 培训教材(中文)

 

P-CAD v2006.With.SP1-ISO 1CD(印制线路板设计软件)

P-CAD v2006.SP2 1CD

Simetrx/Simpis v4.2-ISO 1CD(混合信号电路仿真软件)

 

Tasking C166/ST10 v7.5 r2-ISO 1CD(嵌入式软件开发工具套件)

Tasking C166 v7.5 r2 1CD

Tasking C FOR 196_296 v6.0 R1 1CD

Tasking Dsp Suite v2.0r0 For Motorola Dsp5600X 1CD

 

FAMIC TECH INC产品:

Automation Studio v5.0 1CD(电路设计、模拟和项目文件软件)

 

NEC产品:

EMIStream v3.1 1CD

 

Remcom, Inc.产品:

XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP 1CD(高频电磁分析模拟软体)

XFDTD v7.0 1CD(美国REMCOM公司开发的一款基于电磁数值计算方法FDTD的全波三维电磁仿真软件)

XGTD v2.1 1CD(电磁仿真和分析软件)

 

CST产品:

CST.Studio.Suite.v2009.WinALL & Linux.DVD-ISO 1DVD(完整版-全模块电磁仿真软件)

CST Studio Suite v2009 SP6 1CD

CST Microwave Studio v5.1.3-ISO 1CD(电磁仿真)

CST Design Studio v3.0 1CD(与CST Microwave Studio配套使用的设计数据/流程管理工具,用来支持与其他工具

                           交换数据以及分割设计数据和程序库化等作业)

CST.MicroStripes.2009.v8.0 1CD

CST.MicroStripes.2009.v8.0.x64 1CD

CST Em Studio v2.0 1CD(低频电磁场的分析和设计软件)

CST Mafia v4.1 1CD

 

APLAC SOLUTIONS产品:

PCschematic v9.0.6 正式免狗中文版 1CD(带正版数据库压缩包)

PCschematic v9.0 1CD(英文版)

PCschematic (施耐德)元件库

PCschematic 完整教程

PCselCAD v10.01 中文版-ISO 1CD(带正版数据库,机电-电气CAD绘图软件)

PL7 Pro v4.4 1CD

Schneider-Electric Unity Pro XL v4.0-ISO 1CD(含简体中文版)

Schneider Electric Vijeo Desiner v4.6-ISO 1CD(含简体中文版)

 

IAR产品:

IAR.Embedded.Workbench.for.8051.v5.52B.Full 1CD

IAR.Embedded.Workbench.for.8051.MSC-51.v7.20D(嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.EW430.320A 1CD(嵌入式工作台)

IAR.Embedded.Workbench.for.68HC12.v2.44A

IAR.Embedded.Workbench.for.ARM.v5.40

IAR.Embedded.Workbench.for.Atmel.AVR.v5.11A Full

IAR.Embedded.Workbench.for.Atmel.AVR32.v4.30F-ISO

IAR.Embedded.Workbench.for.Atmel.EWAVR.v4.20C.Full

IAR.Embedded.Workbench.for.Arm.Ewarm.v3.11A-ISO

IAR Embedded Workbench for AVR 5.30

IAR.Embedded.Workbench.for.CR16C.v2.10A

IAR.Embedded.Workbench.for.Dallas.Semiconductor.Maxim.MAXQ.v1.13C

IAR.Embedded.Workbench.for.Dspic.v1.30A(整套的嵌入式开发环境,包括编辑、编译、连接、调试软件,主要支持8到16位处理器)

IAR.Embedded.Workbench.for.Freescale.HCS12.V3.11A

IAR.Embedded.Workbench.for.H8.v1.53I

IAR.Embedded.Workbench.for.MAXQ.v2.10A

IAR.Embedded.Workbench.for.MCS-51.v7.21A

IAR.Embedded.Workbench.for.Mitsubishi.740.v2.16A

IAR.Embedded.Workbench.for.MK5.v1.24A

IAR.Embedded.Workbench.for.MSP430.v4.20.1

IAR.Embedded.Workbench.for.NEC.v850.v3.30

IAR.Embedded.Workbench.for.NEC.78K.v4.40A

IAR.Embedded.Workbench.for.PIC18.v2.12A

IAR.Embedded.Workbench.for.PICmicro.v2.21A

IAR.Embedded.Workbench.for.Renesas.H8.v2.10A

IAR.Embedded.Workbench.for.Renesas.M16C.and.R8C.v3.21A

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M16C.EWPM16C.v2.12A.FULL

IAR.Embedded.Workbench.for.Renesas.M32C.v3.20

IAR.Embedded.Workbench.Pro.for.Renesas.Mitsubishi.M32C.v2.11A.FULL

IAR.Embedded.Workbench.for.Samsung.SAM8.v2.21A.FULL
==================================================================
客服专用QQ: 394623568      用我们的诚信打造专业服务

客服专用QQ: 394623568      用我们的诚信打造专业服务

客服电话:13294332477    客服电话:13294332477

MSN:

客服邮件(Email): ;    ;

更多的软件请登陆:

列表中的各类软件基本都经过安装测试,可以放心使用。如有需要,可以和我们联系。
[来信最好不要用sohu、163的信箱,经常会收不到我们的回信] 
=============================================================================
友情提示:请按Clrt+F查找,输入软件关键字查询(不要输入版本号)
IAR.Embedded.Workbench.for.TI.MSP430.v3.41A 

IAR.Embedded.Workbench.for.TI.MSP430.EW430.v3.10A.FULL

IAR Embedded Workbench for ZiLOG eZ80 1.34A 1CD(C/C++编译器和调试器)

IAR.Embedded.Workbench.for.ZiLOG.Z80.v4.06A Full

IAR Embedded Workbench Limited Edition for 6502

IAR.MakeApp.for.Buletooch.Protocol.Stack.Embedded.Edition.v1.40A.FULL

IAR.Embedded.Workbench.AVR.v2.27B

IAR.PowerPac.for.ARM.v1.10C 1CD(具有丰富功能的实时嵌入式操作系统(RTOS),并包含一个高性能的文件管理系统) 

IAR VisualState v5.4 1CD(图形化状态机设计工具, 它能为嵌入式系统产生非常紧凑的c代码)

IAR中文使用手册

 

Renesas.Nc30WA.v5.30.R02.Final

 

RA产品:

Rowley.Associates.CrossWorks.for.ARM.v1.5.Build.2 1CD

Rowley.Associates.CrossWorks.for.AVR.v2.0 1CD

Rowley.Associates.CrossWorks.for.MAXQ.v2.0 1CD

Rowley.Associates.CrossWorks.for.MSP430.v2.0 1CD

 

NASSDA CORP.产品:

Nassda.Critic.v5.0.01.2005 1CD(一款EDA工具,这是Windows版本)

Nassda.Critic.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hanex.v5.0.01.2005 1CD(业界领先的电路级时序与串扰分析工具,这是Windows版本)

Nassda.Hanex.v5.0.01.2005.Linux 1CD(这是Linux版本)

Nassda.Hsim.v5.0.01.2005 1CD(全球第一个满足深亚微米设计需求的全电路、层次化的晶体管级仿真器,这是Windows版本)

Nassda.Hsim.v5.0.01.2005.Linux 1CD(这是Linux版本)

 

TimingDesigner.v9.2 1CD(时域分析和图示工具)

TimingDesigner.v9.2 Linux 1CD

TimingDesigner.v9.2 Solaris 1CD

 

Precience产品:

PCB Navigator 5.1 1CD

 

SCHMID & PARTNER ENGINEERING AG产品:

SemCAD v13.4 1CD(SEMCAD 为复杂环境的近场分析提供了高端电磁模拟平台,可为电磁场的IIS/IT'IS 研究提供支持和帮助,

                同时可帮助在芯片级的EMC/EMI和EM 模拟,天线设计等,SEMCAD用户界面友好、强大(基于ACIS3D模型工具包)

 

Syncopation.Software产品:

DPL.Fault.Tree.v6.03.03 1CD(人工智能分枝决策树技术应用软件,核电站的安全保证分析,

                            卫星发射装置的可靠性分析, 计算机网络的安全性分析等)

DPL.Professional.v6.03.02 1CD(人工智能分枝决策树技术应用软件,增加更多的功能与分析模块, 如策略树建模, 时间系列分析等)

 

Telemagic.AB产品:

Telelogic.Rhapsody.v7.4.Windows-ISO 1CD(嵌入式仿真开发)

Telelogic.Rhapsody.v7.2.Linux-ISO 1CD

Telelogic.Rhapsody.v7.2.Documentation-ISO1CD

Telelogic.Doors.v7.1 1CD(需求管理软件)

Telelogic.Rhapsody.Adapters.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.Cygwin.Adapter.v7.0 1CD

Telelogic.Rhapsody.Gateway.v1.4.WiNNT2K 1CD

Telelogic.Rhapsody.Integrity.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Adapter.v7.0 1CD

Telelogic.Rhapsody.Nucleus.C.Plus.Plus.Adapter.v7.0 1CD

Telelogic.Rhapsody.Reporter.Plus.v7.0 1CD

Telelogic.Rhapsody.Sodius.Toolkit.v7.1.WiNNT2K 1CD

Telelogic.Rhapsody.VxWorks.Adapter.v7.0 1CD

Sodius.Rhapsody.RulesComposer.v7.0.24 1CD

Telelogic.TAU.Generation2.v2.4-ISO 1CD(可视化系统、软件开发和测试工具环境)

Telelogic.TAU.Generation2.v2.4.SP1-ISO 1CD

Telelogic.Rhapsody.OSC.Tools.v7.1.WiNNT2K 1CD

OSC.Automatic.Test.Generation.v3.1.356.for.Rhapsody.7.0 1CD

OSCTest.Conductor.v1.7.421.for.Rhapsody.7.0 1CD

Sodius.XMI.toolkit.for.Rhapsody.v7.0.13 1CD

I-Logix.Statemate.v4.1-ISO 1CD(面向功能需求的系统级自动设计软件包)    


VSI产品:

VisSim.v6.0D 1CD(可为复杂的控制系统、通讯系统进行建模仿真,可为DSP及嵌入式系统进行样机原型快速开发)

VisSim.C-Code.v6.0 1CD(自动将 VisSim 的简图翻译成被高度优化的符合 ANSI 的 C 语言标准的程序源代码)

VisSim.Comm.v6.0A 1CD(卫星、终端等的通信分析软件)

VisSim.Embedded.Controls.Developer.v6.0 1CD(为速成原型法和内嵌控制系统提供一个开发环境)

VisSim.ECD.for.TI.C2000.v5.0e.Win9xNT2K 1CD(用于TI C2000系列DSP快速样机开发,它可以为TI公司的DSP

                                             家族中的C2000系列快速开发运动控制系统板的样机原型)

VisSim.Neural-Net.v6.0 1CD(优势在于非线性系统的识别方面,问题的诊断,决策系统,预测系统,和其他的的一些特殊环境)

VisSim.Real-TimePRO.v6.0 1CD(提供给用户依靠“实”处理器或者控制器,直接连接 VisSim 系统模型)

 

Celoxica产品:

Celoxica.Agility.Compiler.v1.3 1CD(Agility C编译器)

Celoxica.Agility.Compiler.v1.3.Linux.Debian 1CD

Celoxica.DK.Design.Suite.and.PDK.v5.0.SP3 1CD(高阶设计方法)

 

Concent Engineering产品:

SpiceVision Pro v2.3.6 1CD(很流行的通用型积体电路模拟程序,可处理非线性直流,非常线暂态等功能)

SpiceVision v2.1 WinALL 1CD

Spice Vision 2.1 Linux 1CD

阅读(2681) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~