Chinaunix首页 | 论坛 | 博客
  • 博客访问: 554599
  • 博文数量: 109
  • 博客积分: 2300
  • 博客等级: 大尉
  • 技术积分: 810
  • 用 户 组: 普通用户
  • 注册时间: 2009-10-02 13:11
文章分类

全部博文(109)

文章存档

2012年(1)

2011年(17)

2010年(62)

2009年(29)

我的朋友

分类: 项目管理

2009-12-29 21:32:37

VHDL调用Verilog模块的时候,要在实例化模块前,加上“verilogmodelGM: ”
VHDL调用verlog:

verilog module:
module m(a,b,c);
input a,b;
output c;
...
endmodule
 
调用如下:
compoent m
port(
                        a: in std_logic;
                        b: in  std_logic;
                        c: out std_logic
                      );
end compoent

begin
verilogmodelGE: m      //我自己实验的不用也可以,用了反而有错误,估计是软件变聪明了~
port map
(...
)
...
end

在VHDL里调用Verilog的话:例化+映射
在Verilog里调用VHDL的话:只要映射
阅读(3649) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~