Chinaunix首页 | 论坛 | 博客
  • 博客访问: 973825
  • 博文数量: 238
  • 博客积分: 2842
  • 博客等级: 少校
  • 技术积分: 2765
  • 用 户 组: 普通用户
  • 注册时间: 2009-04-16 00:20
个人简介

stdlf

文章分类

全部博文(238)

文章存档

2013年(6)

2012年(13)

2011年(82)

2010年(89)

2009年(48)

我的朋友

分类: 嵌入式

2013-07-19 10:36:07

红的是数字电路的logic 1(即高电平)或模拟电路的positive
黄的没看到官方资料,个人经验是有冲突,如这条线上即接电源有接地
灰的是数字电路中部确定状态,如三态的高阻态 
绿 work area
这些颜色可以在Template->set Design Default中设定
详见Help->Proteus VSM help的INTERACTIVE SIMULATION->TAKING MEASUREMENTS->ANIMATION EFFECTS->Show Wire Voltage as Colour
还有其他颜色也可以参见这里


阅读(2406) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~