Chinaunix首页 | 论坛 | 博客
  • 博客访问: 436232
  • 博文数量: 122
  • 博客积分: 3010
  • 博客等级: 中校
  • 技术积分: 1538
  • 用 户 组: 普通用户
  • 注册时间: 2007-10-17 11:10
文章分类

全部博文(122)

文章存档

2011年(1)

2008年(86)

2007年(35)

我的朋友

分类: LINUX

2008-03-19 22:36:46

    摸索了一通,下面介绍用来为代码生成交叉索引文档的步骤。
    参考资料是Doxygen的
   
    首先装上它,我是下载的,比较简单。
    我是这么用的:
  1. 到你的源码根目录下去: cd ~/Works/Floorplan
  2. 创建一个Doxygen配置文件: doxygen -g
    这样在你的当前目录被创建出一个叫Doxyfile的文件,它将告诉Doxygen你接下来要做什么事情
  3. 用文本编辑器打开Doxyfile: vi Doxyfile
  4. 如果只是和我一样的弱智用户的话,改动以下几个地方:
    • PROJECT_NAME:写下你想要的名字
    • OUTPUT_DIRECTORY:输出的文件夹,我用的是 ~/Desktop/Floorplan
    • CREATE_SUBDIRS:改为 YES
    • EXTRACT_ALL:改为 YES
    • SHOW_DIRECTORIES:改为 YES
    • SHOW_DIRECTORIES:改为 . ,因为已经到了源码文件夹
    • FILE_PATTERNS:一般情况下不用改了,*.c *.h *.cpp *.hpp这些都会自动包含进去
    • RECURSIVE:改为 YES,你肯定希望包含那些子文件夹里的源码
    • SOURCE_BROWSER:YES
    • PDF_HYPERLINKS:YES
    • USE_PDFLATEX:YES
  5. 好了,保存Doxyfile,然后执行: doxygen Doxfile
    这就在~/Desktop/Floorplan生成了两个版本的交叉索引的源码:html和pdf。
    要pdf版的话,只要在 ~/Desktop/Floorplan/pdf 这个文件夹里执行: make (前提是你要装了latex)

    效果: 我和解进上学期做的Floorplan的C++代码 []
阅读(2268) | 评论(1) | 转发(0) |
给主人留下些什么吧!~~

aleln2008-08-14 08:24:02

"SHOW_DIRECTORIES:改为 . ,因为已经到了源码文件夹" 应该是"INPUT"吧