Chinaunix首页 | 论坛 | 博客
  • 博客访问: 128672
  • 博文数量: 55
  • 博客积分: 1870
  • 博客等级: 上尉
  • 技术积分: 540
  • 用 户 组: 普通用户
  • 注册时间: 2008-03-21 20:51
文章分类

全部博文(55)

文章存档

2011年(27)

2009年(3)

2008年(25)

我的朋友

分类: C/C++

2011-05-04 13:59:39

声明:本文转载于http://www.cnblogs.com/kingst,版权归黑金动力社区(http://www.heijin.org)所有。

P6152207

1  
001##############################################
002#       URL:    
003#       REV:    1.0
004#    AUTHOR:    AVIC
005#      DATE:    2010.6.19
006#############################################
007 
008#-----------------------------------------GLOBAL------------------------------------------#
009set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
010set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF
011 
012set_location_assignment PIN_23  -to RESET
013set_location_assignment PIN_28  -to CLOCK
014#-----------------------------------------SDRAM------------------------------------------#
015set_location_assignment PIN_175 -to S_DB[0]
016set_location_assignment PIN_173 -to S_DB[1]
017set_location_assignment PIN_171 -to S_DB[2]
018set_location_assignment PIN_170 -to S_DB[3]
019set_location_assignment PIN_169 -to S_DB[4]
020set_location_assignment PIN_168 -to S_DB[5]
021set_location_assignment PIN_165 -to S_DB[6]
022set_location_assignment PIN_164 -to S_DB[7]
023set_location_assignment PIN_205 -to S_DB[8]
024set_location_assignment PIN_203 -to S_DB[9]
025set_location_assignment PIN_201 -to S_DB[10]
026set_location_assignment PIN_200 -to S_DB[11]
027set_location_assignment PIN_199 -to S_DB[12]
028set_location_assignment PIN_198 -to S_DB[13]
029set_location_assignment PIN_197 -to S_DB[14]
030set_location_assignment PIN_195 -to S_DB[15]
031 
032set_location_assignment PIN_179 -to S_A[0]
033set_location_assignment PIN_180 -to S_A[1]
034set_location_assignment PIN_181 -to S_A[2]
035set_location_assignment PIN_182 -to S_A[3]
036set_location_assignment PIN_185 -to S_A[4]
037set_location_assignment PIN_187 -to S_A[5]
038set_location_assignment PIN_188 -to S_A[6]
039set_location_assignment PIN_189 -to S_A[7]
040set_location_assignment PIN_191 -to S_A[8]
041set_location_assignment PIN_192 -to S_A[9]
042set_location_assignment PIN_176 -to S_A[10]
043set_location_assignment PIN_193 -to S_A[11]
044 
045set_location_assignment PIN_207 -to S_CLK
046set_location_assignment PIN_151 -to S_BA[0]
047set_location_assignment PIN_150 -to S_BA[1]
048set_location_assignment PIN_161 -to S_nCAS
049set_location_assignment PIN_208 -to S_CKE
050set_location_assignment PIN_160 -to S_nRAS
051set_location_assignment PIN_162 -to S_nWE
052set_location_assignment PIN_152 -to S_nCS
053set_location_assignment PIN_206 -to S_DQM[1]
054set_location_assignment PIN_163 -to S_DQM[0]
055#---------------------------------------------USB----------------------------------------#
056set_location_assignment PIN_117 -to USB_DB[0]
057set_location_assignment PIN_118 -to USB_DB[1]
058set_location_assignment PIN_127 -to USB_DB[2]
059set_location_assignment PIN_128 -to USB_DB[3]
060set_location_assignment PIN_133 -to USB_DB[4]
061set_location_assignment PIN_134 -to USB_DB[5]
062set_location_assignment PIN_135 -to USB_DB[6]
063set_location_assignment PIN_137 -to USB_DB[7]
064 
065set_location_assignment PIN_113 -to USB_A0
066set_location_assignment PIN_115 -to USB_WR
067set_location_assignment PIN_116 -to USB_nINT
068set_location_assignment PIN_114 -to USB_RD
069#-------------------------------------------LAN-----------------------------------------#
070set_location_assignment PIN_129 -to LAN_nINT
071set_location_assignment PIN_131 -to LAN_nWOL
072set_location_assignment PIN_104 -to LAN_MOSI
073set_location_assignment PIN_132 -to LAN_MISO
074set_location_assignment PIN_103 -to LAN_SCK
075set_location_assignment PIN_102 -to LAN_CS
076set_location_assignment PIN_105 -to LAN_nRST
077#-------------------------------------------VGA-----------------------------------------#
078set_location_assignment PIN_142 -to VGA[0]
079set_location_assignment PIN_143 -to VGA[1]
080set_location_assignment PIN_144 -to VGA[2]
081set_location_assignment PIN_146 -to VGA_HS
082set_location_assignment PIN_145 -to VGA_VS
083#--------------------------------------------LCD-----------------------------------------#
084set_location_assignment PIN_8   -to LCD_CS
085set_location_assignment PIN_12  -to LCD_A0
086set_location_assignment PIN_11  -to LCD_SCL
087set_location_assignment PIN_14  -to LCD_SI
088#--------------------------------------------LED-----------------------------------------#
089set_location_assignment PIN_69  -to LED[0]
090set_location_assignment PIN_70  -to LED[1]
091set_location_assignment PIN_72  -to LED[2]
092set_location_assignment PIN_74  -to LED[3]
093#--------------------------------------------KEY-----------------------------------------#
094set_location_assignment PIN_3   -to KEY[0]
095set_location_assignment PIN_5   -to KEY[1]
096set_location_assignment PIN_4   -to KEY[2]
097set_location_assignment PIN_10  -to KEY[3]
098set_location_assignment PIN_6   -to KEY[4]
099#-------------------------------------------UART----------------------------------------#
100set_location_assignment PIN_147 -to RXD
101set_location_assignment PIN_149 -to TXD
102#-------------------------------------------24LC04--------------------------------------#
103set_location_assignment PIN_112 -to I2C_SDA
104set_location_assignment PIN_110 -to I2C_SCL
105#--------------------------------------------PS2----------------------------------------#
106set_location_assignment PIN_139 -to PS2_DAT
107set_location_assignment PIN_138 -to PS2_CLK
108#-------------------------------------------DS1302-------------------------------------#
109set_location_assignment PIN_108 -to RTC_SCLK
110set_location_assignment PIN_106 -to RTC_nRST
111set_location_assignment PIN_107 -to RTC_DATA
112#-------------------------------------------BUZZER-------------------------------------#
113set_location_assignment PIN_141 -to BUZZER
114#---------------------------------------------DIG---------------------------------------#
115set_location_assignment PIN_44  -to DIG[0]
116set_location_assignment PIN_43  -to DIG[1]
117set_location_assignment PIN_46  -to DIG[2]
118set_location_assignment PIN_56  -to DIG[3]
119set_location_assignment PIN_57  -to DIG[4]
120set_location_assignment PIN_48  -to DIG[5]
121set_location_assignment PIN_47  -to DIG[6]
122set_location_assignment PIN_45  -to DIG[7]
123set_location_assignment PIN_58  -to SEL[5]
124set_location_assignment PIN_59  -to SEL[4]
125set_location_assignment PIN_60  -to SEL[3]
126set_location_assignment PIN_61  -to SEL[2]
127set_location_assignment PIN_63  -to SEL[1]
128set_location_assignment PIN_64  -to SEL[0]
129#---------------------------------------------END--------------------------------------#
阅读(798) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~