Chinaunix首页 | 论坛 | 博客
  • 博客访问: 4561857
  • 博文数量: 385
  • 博客积分: 21208
  • 博客等级: 上将
  • 技术积分: 4393
  • 用 户 组: 普通用户
  • 注册时间: 2006-09-30 13:40
文章分类

全部博文(385)

文章存档

2015年(1)

2014年(3)

2012年(16)

2011年(42)

2010年(1)

2009年(2)

2008年(34)

2007年(188)

2006年(110)

分类: LINUX

2007-01-07 13:38:52

Jflash源代码分析(一)
出处 高手freasy http://dev.csdn.net/develop/article/58/58880.shtm   
    

常常是板子出了问题就手足无措,常常要给板子上面信号的时候要用ADS写长长的程序(我用ARM)
常常看到Jflash的程序出错就只知道重起板子,于是我就常常想阅读一下Jflash的源代码
今天,我终于祭起长久不用的Source Insight,建立工程,开始阅读Jflash
所谓打蛇打七寸,读程序先读main
我就从main开始对jflash进行解剖
我读的代码是windows版本的,用VC进行编译,我想Linux版本的应该也差不多,就是要定义一个宏吧,这个问题暂且不关注,先关注程序本身
程序一开始就是一大堆没有注释的变量,也许我是才疏学浅的原因,我硬是看不懂那些变量是做什么用的,暂且跳过吧,先看后面的程序
#ifdef __windows__
    //Test operating system, if WinNT or Win2000 then get device driver handle
 OSVERSIONINFO osvi;
 osvi.dwOSVersionInfoSize = sizeof(OSVERSIONINFO);
 GetVersionEx(&osvi);
 if(osvi.dwPlatformId == VER_PLATFORM_WIN32_NT)
 {
        HANDLE h;

  h = CreateFile("\\\\.\\giveio", GENERIC_READ, 0, NULL,
     OPEN_EXISTING, FILE_ATTRIBUTE_NORMAL, NULL);
  if(h == INVALID_HANDLE_VALUE)
   error_out("Couldn't access giveio device");
  CloseHandle(h);
 }
#endif
版权信息就不说了,下面就是检测giveio是否已经安装好了
如果没有安装好,就提示Couldn't access giveio device
接着调用test_port()函数,以寻找一个可以用并口
在分析test_port()之前,我们首先对并口编程先进行一些介绍
我们的PC机一般有三个并口,他们的IO地址范围通常是:
0x3bc-0x3be
0x378 -0x37a
0x278-0x27a
在很多电脑里面,通常连接Jtag的并口是以0x378为基地址的并口
可以看到一个并口有三个IO地址,第一个是数据寄存器地址,第二个是控制寄存器地址,第三个是状态寄存器地址。


标题        Jflash源代码分析(二)     选择自 freasy 的 Blog
关键字       Jflash源代码分析(二)
出处      
   

JTAG原理
上篇文章刚刚提到test_logic_reset函数,这个函数是用来reset Jtag链的,继续分析之前,还是先让我们来了解JTAG的工作状况。为了测试我们的PCB板的方便,JTAG这个东西被搞了出来。如果想更多的了解 JTAG,大家可以去看看IEEE 1149.1的标准,如果只是和我一样,想了解一下的话,大家可以看看Mark Zwolinski著《VHDL数字系统设计》,电子工业出版社出版了他的中文版。
没一个JTAG兼容的元件都有一个共用的测试结构,这种结构基本单元如下:
1、测试存取端口
   测试存取端口包括4个或5个为测试增加的引脚。这些引脚是:
   TDI和TDO(测试数据输入和输出)。数据和指令通过扫描路径送至IC。没有办法从指令中区分数据,或者判断一系列位的目标是到达哪个特定的IC。因此,下面的引脚用来控制数据流向。
  TMS(测试模式选择)。与TCK引脚一起,TMS引脚用来控制一个状态机以决定每位通过TDI到达目的地。
  TCK(测试时钟)
  TRST(测试复位),这是可选的异步复位信号,很多的JTAG电路中没有这个信号。
2、TAP控制器
   TAP控制器是一个具有16个状态的状态机,它用来控制测试。状态机的输入是TCK和TMS,输出是其它寄存器的控制信号。下图是我在一个网站上找到的他的状态图,大家也可以在google的图片里面搜索tap controller,就可以搜索到这个状态图。

通过这个图可以看出,TMS脚上保持5个时钟周期的高电平,会使得状态机从任何状态进入Test-Logic-Reset。TAP控制器发出的控制信号用来启动器件中的其它寄存器。这样,如果到达TDI的位序列合适,就将被送到指令寄存器或者特别的数据寄存器。
3、测试数据寄存器(Test Data Registers)
一个与边界扫描兼容的元件必须将其所有的输入和输出连接至扫描路径。一下描述的特殊单元用来实现扫描寄存器。另外,必须有一位的旁路寄存器,这样可以通过绕开元件的边界扫描寄存器来缩短扫描路径。另外还需要一些其他的寄存器,例如,一个IC可能需要一个标志寄存器,这个寄存器的内容可以通过扫描访问来确定 PCB板上是否装配了正确的IC。同样,我们可以通过边界扫描接口访问器件的内部扫描路径。某些可编程逻辑生产商允许使用边界扫描器件来对器件进行编程,因此,另一种可能的数据寄存器是配置寄存器。
4、指令寄存器(Instruction Register)
 指令寄存器至少有2位,这依赖于实现的测试数目。它定义了测试数据寄存器的使用。指令寄存器还产生进一步的控制信号。
边界扫描单元有四种操作模式:
1、普通模式。一般的系统数据从In传输至OUT
2、扫描模式。shfiterDR选择SCAN_IN引脚,ClockDR提供扫描路径时钟。ShifterDR值由Tap控制器中相似的名称的状态得来。当TAP控制器处于状态capture-DR或者shifter-DR时,断言ClockDR
3、捕捉模式。ShiftDR选择In引脚,数据由ClockDR时钟送入扫描路径寄存器来对系统进行快照
4、更新模式。在捕捉或者扫描之后,数依据通过UpdateDR一个时钟沿从左边沿触发送至OUT。
test_logic_rest函数分析
好,这里说了这么多的JTAG,下面我们继续分析源代码, test_logic_reset的代码如下:
void test_logic_reset(void)
{
  putp(1,1,IGNORE_PORT); // keep TMS set to 1 force a test logic reset
 putp(1,1,IGNORE_PORT); // no matter where you are in the TAP controller
 putp(1,1,IGNORE_PORT);
 putp(1,1,IGNORE_PORT);
 putp(1,1,IGNORE_PORT);
 putp(1,1,IGNORE_PORT);
}
这个函数的目的是用来对JTAG逻辑进行重置的,函数调用了6个putp函数。
putp函数源代码如下:
int putp(int tdi, int tms, int rp)
{
 int tdo = -1;
 // TMS is D2, TDI is D1, and TCK is D0, so construct an output by creating a
    // rising edge on TCK with TMS and TDI data set.
    _outp(lpt_address, tms*4+tdi*2+8); // TCK low
 _outp(lpt_address, tms*4+tdi*2+1+8); // TCK high
 
    // if we want to read the port, set TCK low because TDO is sampled on the
    // TCK falling edge.
    if(rp == READ_PORT)
        _outp(lpt_address, tms*4+tdi*2+8); // TCK low
 if(rp == READ_PORT)
        tdo = !((int)_inp(lpt_address + 1) >> 7); // get TDO data
这里的代码是使用并口做JTAG访问的代码,可以看出,这个函数是产生一次TCK脉冲,同时发送数据和接受数据的。tdo最后返回的是TDO的状态值,使用了一个!是因为前面说过最高位的逻辑是与信号线上相反的。知道的putp代码的作用,我们就可以看出来,test_logic_reset的作用是让 TMS保持6个高电平,前面说过,TMS 5个电平就会使得器件进入重置状态。
jtag_test()函数分析
接下来,jtag_test()函数被调用,我们再来对他进行分析
void jtag_test()
{
    // set all devices into bypass mode as a safe instruction

    pre_IRSCAN();

    if (controller_scan_code(COT_BYPASS, READ_PORT, CONTINUE) != 0x1)
    {
        error_out("Jtag test failure. Check connections and power.\n");  
    }
 
    post_IRSCAN();
    printf("JTAG Test Passed\n");
}
首先, pre_IRSCAN()被调用, pre_IRSCAN()的代码如下:
void pre_IRSCAN()
{

   putp(1,0,IGNORE_PORT); //Run-Test/Idle
    putp(1,0,IGNORE_PORT); //Run-Test/Idle
    putp(1,0,IGNORE_PORT); //Run-Test/Idle
    putp(1,0,IGNORE_PORT); //Run-Test/Idle
    putp(1,1,IGNORE_PORT);
    putp(1,1,IGNORE_PORT); //select IR scan
    putp(1,0,IGNORE_PORT); //capture IR
    putp(1,0,IGNORE_PORT); //shift IR
}
可以看出来,TAP状态机从Run_test/IDL到Selet_DR-Scan到Select-IR-SCAN再进入Capture-IR,最后进入Shift-IR,从函数返回的时候,器件进入等待数据移位进入IR的状态
然后,controller_scan_code函数被调用,该函数则完成将一个BYPASS指令移进IR当中,然后为什么会在TDO上得到一个高电平我就不清楚了,可能这是对BYPASS命令的应答。然后Post_IRSCAN被调用,状态机返回到Run-Test/Idle模式
Jtag-test完成之后,就开始真正的flash烧写过程了
 
阅读(2533) | 评论(0) | 转发(0) |
给主人留下些什么吧!~~