按类别查询搜索结果
关于关键词 的检测结果,共 112676
ft9420 | 2016-09-19 20:27:22 | 阅读(300) | 评论(0)
lhrbest | 2016-09-19 16:23:30 | 阅读(1030) | 评论(0)
Reference data is not available for verifying prerequisites on this operating system distribution Verification cannot proceed 在oracle linux 6.4上安装grid,安装前检查环境运行 runcluvfy.sh  报错,如下: [oracle@node2 grid]$ ./runcluvfy.sh stage -pre crsinst -n node1,node2 -ver...【阅读全文】
xiaoqingmama | 2016-09-19 13:06:42 | 阅读(520) | 评论(0)
详细解决方法:http://smartmontools.sourceforge.net/badblockhowto.html相关问题,更换硬盘:http://blog.chinaunix.net/uid-7573623-id-3442608.html来之:http://hi.baidu.com/ttl289/item/95c9ac4c7c3649abde2a9fcelinux系统重启或无故变为只读造成网站无法正常访问的简单临时的做法:一1、mount:  用于查看哪个模块输入只读,一般显示为:  /dev/hda1 on / type ext3 (rw)  none on /proc type【阅读全文】
快乐古月 | 2016-09-19 08:48:00 | 阅读(1360) | 评论(0)
lvyilong316 | 2016-09-18 23:51:57 | 阅读(15100) | 评论(0)
socket层——TCP发送内核版本:3.15.2 Socket数据结构关系发送流程图    以下是send()、sendto()、sendmsg()和sendmmsg()的发送流程图,这四个函数除了在系统调用层面上有些差别,在Socket层和TCP层的实现都是相同的。 应用层    ...【阅读全文】
18345093167 | 2016-09-18 22:47:37 | 阅读(1450) | 评论(0)
superdebug | 2016-09-18 21:29:48 | 阅读(740) | 评论(0)
文峰聊书斋 | 2016-09-18 20:32:04 | 阅读(1220) | 评论(0)
1.uboot启动内核的代码

do_bootm
do_bootm_linux函数解析【阅读全文】
zzfree54 | 2016-09-18 13:57:22 | 阅读(850) | 评论(0)
niubai777 | 2016-09-18 09:50:16 | 阅读(970) | 评论(0)
Enlightener | 2016-09-18 09:20:45 | 阅读(1360) | 评论(0)
lcldali | 2016-09-17 22:56:04 | 阅读(1180) | 评论(0)
lcldali | 2016-09-17 22:55:06 | 阅读(830) | 评论(0)
lcldali | 2016-09-17 22:54:54 | 阅读(890) | 评论(0)
lcldali | 2016-09-17 22:54:49 | 阅读(840) | 评论(0)
lcldali | 2016-09-17 22:54:45 | 阅读(880) | 评论(0)
lcldali | 2016-09-17 22:53:58 | 阅读(830) | 评论(0)
可以看到,默认的环境变量值都是通过相应的宏来设置的,接下来我们设置一下我们自己的环境变量,去掉uboot中默认的环境变量。修改include/configs/fsc100.h文件如下: (1)注释掉一下内容输入print命令,效果如下: 可以看到我们设置的默认环境变量,但是开发板启动的时候依然有警告说CR...【阅读全文】
lcldali | 2016-09-17 22:26:15 | 阅读(770) | 评论(0)
通过前面我们对字符驱动的完善,已经可以完成基本的功能了,但是仍然有以下不足,这一节我们继续完善它。(1)没有实现xxx_ioctl接口,没办法通过命令来控制dev_fifo 改善:增加xxx_ioctl函数接口,应用层可以通过ioctl系统调用,根据不同的命令来操作dev_fifo。(2)只能驱动一个dev_fifo...【阅读全文】
lcldali | 2016-09-17 22:25:59 | 阅读(640) | 评论(0)
dev_fifo_v2.zip【阅读全文】
lcldali | 2016-09-17 22:25:43 | 阅读(630) | 评论(0)